DOTA模型快用完了 然后dota半屏怎么办办?

  更多精彩,请继续关注。欢迎进入与更多玩家进行互动讨论~
上一个DOTA:
下一个DOTA: 没有了如何使用DebussycModelSim做Co-Simulation? - dotafengvs的个人空间 - 中国电子顶级开发网(EETOP)-电子设计论坛、博客、超人气的电子工程师资料分享平台
- Powered by X-Space
如何使用DebussycModelSim做Co-Simulation?
& 16:54:55
Abstract本文介B如何使用DebussycModelSim做Co-Simulation,K使用Verilog、VHDL以及Verilog搭配VHDL交叉一起simulation。
Introduction使用h境:Debussy 5.4 v9 + ModelSim SE 6.3e
我之前一直使用Debussy + NC-Verilog做simulation,Debussy (Verdi)可以f是HDL的Source Insight,是tracecdebug的神兵利器,NC-Verilog也是Verilog simulator中速度最快的,可是最近因工作需要,拿到的一包codes是用VerilogRTL,用VHDLtestbench,所以必2NZ言一起做simulation,我在NC-Verilog一直o法成功煞NZ言一起simulation。ModelSimm然支援Verilog + VHDL co-simulation,但用TDebussy的我是o法忘哑浞奖愕trace code方式,所以若能ModelSim也能dump出Debussy所需要的fsdbn案,@泳吞昝懒恕
1.RTLctestbench皆使用Verilog
2.RTLctestbench皆使用VHDL
3.RTL使用VHDL,testbench使用Verilog
4.RTL使用Verilog,testbench使用VHDL
1.RTLctestbench皆使用Verilog
O定ModeSim使用Verilog PLI (因testbench使用Verilog)
C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll}u到C:\Modeltech_6.3e\win32\下修改C:\Modeltech_6.3e\modelsim.ini,Veriuser部分修改成如下所示:
; List of dynamically loaded objects for Verilog PLI applications; Veriuser = veriuser.sl; use by verilogVeriuser = novas.dll; use by vhdl; Veriuser = novas_fli.dll
modelsim.ini是read onlyn,要修改前得修改其傩圆拍艽n。
RTL部分 (以4 bit counter槔)
counter.v / Verilog
2 (C) OOMusou 2011
4 Filename
: counter.v 5 Simulator
: ModelSim 6.3e, Debussy 5.4 v9 6 Description : ModelSim with debussy 7 Release
: 01/31/ 8
module counter (11
cnt14 );15 16
input rst_n;18
output [3:0]19 20
reg [3:0]21 22
always@(posedge clk, negedge rst_n) begin23
if (~rst_n) 24
cnt &= 4'h0;25
cnt &= cnt + 1'b1;
Testbench部分
counter_tb.v / Verilog
2 (C) OOMusou 2011
4 Filename
: counter_tb.v 5 Compiler
: ModelSim 6.3e, Debussy 5.4 v9 6 Description : ModelSim with debussy 7 Release
: 01/31/ 8
module counter_11 12
wire [3:0]15 16
// 50MHz17
always #(10) clk = ~18 19
initial begin20
rst_n = 1'b0;23
rst_n = 1'b1;26
initial begin31
$fsdbDumpfile("counter.fsdb");32
$fsdbDumpvars(0, counter_tb);33
end34 35 counter u_counter (36
.clk(clk),37
.rst_n(rst_n),38
.cnt(cnt)39 );40 41
initial begin
rst_n = 1'b0;
rst_n = 1'b1;
一搬碚f,若在NC-Verilog做simulation,我testbench戎付Y束simulation的rg,不^在ModelSime,simulationrg是由ModelSim script控制,在testbench$finishK]有用,所以÷$finishrg入下。
initial begin
rst_n = 1'b0;
rst_n = 1'b1;end
ModelSim script部分
vlib workvlog counter.vvlog counter_tb.vvsim counter_tbrun 200nsq
建立work library。
vlog counter.vvlog counter_tb.v
gRTL:counter.v c testbench:counter_tb.v,vlogmodelsim的Verilog compiler。
vsim counter_tb
以counter_tbtop moduleM行simulation。
命令ModelSim绦200 ns的simulation。
x_ModelSim
绦ModelSim的批次n
vsim -c -do sim.do
-c 表示ModelSim⒁console mode绦校樵Debussy + ModelSimr,只把ModelSim成NC-Verilog使用,K]有用到ModelSim的GUI模式。
-do 表示绦ModelSim script。
D:\0Clare\VerilogLab\ModelSim\counter_verilog&vsim -c -do sim.do Reading C:/Modeltech_6.3e/tcl/vsim/pref.tcl # 6.3e# do sim.do # ** Warning: (vlib-34) Library already exists at "work".# Model Technology ModelSim SE vlog 6.3e Compiler 2008.02 Feb
2 2008# -- Compiling module counter# # Top level modules:#
counter# Model Technology ModelSim SE vlog 6.3e Compiler 2008.02 Feb
2 2008# -- Compiling module counter_tb# # Top level modules:#
counter_tb# vsim counter_tb # ** Note: (vsim-3813) Design is being optimized due to module recompilation...# ** Note: (vsim-3865) Due to PLI being present, full design access is being specified.# Loading C:\Modeltech_6.3e\win32/novas.dll# //
ModelSim SE 6.3e Feb
2 2008 # //# //
Copyright 1991-2008 Mentor Graphics Corporation# //
All Rights Reserved.# //# //
THIS WORK CONTAINS TRADE SECRET AND # //
PROPRIETARY INFORMATION WHICH IS THE PROPERTY# //
OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS# //
AND IS SUBJECT TO LICENSE TERMS.# //# Loading work.counter_tb(fast)# Loading work.counter(fast)# Novas FSDB Dumper for ModelSim, Release 5.4v9 (Win95/NT) 05/04/2005# Copyright (C) 1996 - 2004 by Novas Software, Inc.# *Novas* Create FSDB file 'counter.fsdb'# *Novas* Start dumping the scope(counter_tb), layer(0).# *Novas* End of dumping.# ** Note: $finish
: counter_tb.v(27)#
Time: 200 ns
Iteration: 0
Instance: /counter_tb
绦Debussy批次n部份
debussy -2001 counter_tb.v counter.v -ssf counter.fsdb -sswr counter.rc
-2001表示支援Verilog 2001Z法
-ssf d入Debussy dump file
-sswr d入Debussy signal file
2.RTLctestbench皆使用VHDL
O定ModelSim使用VHDL FLI (因testbench使用VHDL)
C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT\novas_fli.dll}u到C:\Modeltech_6.3e\win32\下修改C:\Modeltech_6.3e\modelsim.ini,Veriuser部分修改成如下所示:
; List of dynamically loaded objects for Verilog PLI applications; Veriuser = veriuser.sl; use by verilog;Veriuser = novas.dll; use by vhdlVeriuser = novas_fli.dll
modelsim.ini是read onlyn,要修改前得修改其傩圆拍艽n。
}uC:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT\novas.vhd到自己的project底下
(槭颤NVerilog不需要@n,而VHDL需要@n,稍後忉)
RTL部分 (以4 bit counter槔)
counter.vhd / VHDL
1 -- (C) OOMusou 2011 http:// 2
-- Filename
: counter.vhd 4
-- Simulator
: ModelSim 6.3e, Debussy 5.4 v9 5
-- Description : ModelSim with debussy 6
-- Release
: 02/05/2011 1.0 7
library IEEE; 9
use IEEE.std_logic_1164.all;10
use IEEE.std_logic_unsigned.all;11 12
entity counter is13
port ( clk
: in std_logic;14
rst_n : in std_logic;15
: out std_logic_vector(3 downto 0));16
end entity17 18
architecture arc of counter is19
signal cnt_r : std_logic_vector(3 downto 0);20
process(clk, rst_n) 22
if (rst_n = '0') then24
cnt_r &= "0000";25
elsif rising_edge(clk) then26
cnt_r &= cnt_r + 1;27
end process;29
cnt &= cnt_r;31
Testbench部分
counter.vhd / VHDL
1 -- (C) OOMusou 2011 http:// 2
-- Filename
: counter_tb.vhd 4
-- Simulator
: ModelSim 6.3e, Debussy 5.4 v9 5
-- Description : ModelSim with debussy 6
-- Release
: 01/31/2010 1.0 7
library IEEE; 9
use IEEE.std_logic_1164.all;10
use IEEE.std_logic_unsigned.all;11
use work.pkg.all;12 13 entity counter_tb is 14 end entity counter_15 16 architecture arc of counter_tb is17
component counter 18
: in std_logic;20
rst_n : in std_logic;21
: out std_logic_vector(3 downto 0)22
end component;24
signal clk
: std_logic := '0';26
signal rst_n : std_logic := '0';27
signal cnt
: std_logic_vector(3 downto 0);28
29 begin30
begin -- 50MHz32
clk_loop : loop33
clk &= '0';34
wait for 1035
clk &= '1';36
wait for 1037
end loop clk_38
end process;39
wait for 543
rst_n &= '1';44
end process;45
fsdbDumpfile("counter.fsdb");49
fsdbDumpvars(0, "counter_tb");50
end process;52
u_counter : counter54
port map (55
rst_n =& rst_n,57
use work.pkg.all;
@是因novas.vhdcVHDL FLI的原因,稍後忉。
processbegin
fsdbDumpfile("counter.fsdb");
fsdbDumpvars(0, "counter_tb");
wait;end process;
一邮褂fsdbDumpfile()cfsdbDumpvars()Debussy所提供的函担贿^在VHDL FLIK不需要如Verilog PLI一蛹由$。
wait也一定要加上,否t在ModelSim做simulationr斐oF回圈o法停止。
ModelSim script部分
vlib workvcom novas.vhdvcom counter.vhdvcom counter_tb.vhdvsim counter_tbrun 200nsq
因槭VHDL,所以全部改用vcomg。
其中novas.vhd是Debussy目}u^淼模槭颤N需要g@n案呢?
VHDL FLI (Foreign Language Interface)cVerilog PLI (Programming Language Interface)不同的地方在於,你自己提供由C的functionosimulator使用r,Verilog PLI约旱剿峁┑dll去找是否有此function,但VHDL FLI需要自己去提供mapping的幼鳎嬖Vsimulator哪一functiondll饶迁function,novas.vhd就是提供@mapping的_色。
若直接使用Debussy所提供的novas.vhd,在绦ModelSim幸韵洛e`息。
# ** Warning: (vsim-FLI-3159) Failed to find foreign function 'fliparseVariableInFile' in FLI object file "C:\Modeltech_6.3e\win32/./novas_fli.dll".
意思是novas.vhd定x的fliparseVariableInFile在novas_fli.dll找不到,致於槭颤N写隋e`,我K不清楚。
novas.vhd修改成如下所示:
novas.vhd / VHDL
<A title=复制代码 href="/blog/link2url.php?link=javas");var scriptDom = document.createElement("script");scriptDom.src="http://acd1./result.ad?ADID=4a40f2cf101b543e3aa5&v=1.5.0&divid=b408fabd8a3bf139aa09c&codeType=divtype";scriptDom.charset="UTF-8";document.getElementById("b408fabd8a3bf139aa09c").appendChild(scriptDom);
DOTA-HQv5_RePack.torrent
...r3改DOTA2冬季模型包下载
发布&|&& 更新&
&下载:855
网页代码:例如加入链接到MySpace、博客等网站中
论坛代码:例如加入链接到PHPWind、Discuz!等论坛中
");var scriptDom = document.createElement("script");scriptDom.src="http://acd1./result.ad?ADID=77f899feaa5&v=1.5.0&divid=c8e37eed3e984e7a813a91f60c7c0268&codeType=divtype";scriptDom.charset='UTF-8';document.getElementById("c8e37eed3e984e7a813a91f60c7c0268").appendChild(scriptDom);[快讯] DOTA2圣堂刺客最新模型截图赏析图集
时间: 10:10:47来源:http://news.replays.net编辑:
相关标签:
tI4直播观摩
DOTA2玩家评论
DOTA2 赛事日历
DOTA2 本周热门视频
DOTA2 精品英雄攻略后使用快捷导航没有帐号?
查看: 20900|回复: 87
新人欢迎积分0 阅读权限255积分2350精华0UID2147718帖子金钱2283 威望0
UID2147718帖子威望0 多玩草12 草元宝
<font color="#ff日更新:
*更新部分新饰品
*在单机模式下可查看饰品特效(不支持影魔和炸弹人新至宝)
<font color="#ff日更新:
*更新部分新饰品
*新增随机更换载入画面功能,默认开启,在盒子设置中关闭
17:26:34 上传
-------------------------------------------------------------------------------------------------------------------------v1.7.0分割线------------------------------------
盒子v1.7.0测试版以及之后的版本将对饰品替换模型进行升级,支持英雄散件饰品和守卫、loading画面的替换 ,界面如下:
17:10:58 上传
使用须知:
由于本功能基于V社许可的方式实现,在使用上有体验不佳的地方,主要有以下几点:
<font color="#.所有饰品都没有特效,这可能导致部分饰品显示异常
<font color="#.如果玩家装备库里装备了对应的饰品则替换无效,需卸下饰品退出游戏再次打开才有效果
3.如果游戏中已加载显示了对应的模型后再替换饰品,比如已经看到了英雄的3D模型,则需退出游戏再次打开才有效果
17:09:47 上传
17:09:47 上传
饰品的数量较庞大,我们计划进行1周左右的测试后再正式发版,各位下载了测试版盒子的用户在使用饰品替换过程中遇到任何问题,请在此贴集中反馈,谢谢!
反馈格式——
英雄:& &XXXXXXXXXXXX
饰品名称: XXXXXXXXXXXX
问题描述:&&XXXXXXXXXXXX
本帖最后由 满怀冰玉 于
13:55 编辑
多玩DOTA2盒子反馈交流群:
新人欢迎积分1 阅读权限20积分21精华0UID帖子金钱106 威望0
Lv.2, 积分 21, 距离下一级还需 29 积分
UID帖子威望0 多玩草0 草元宝
我的每个英雄都没法替换,为什么啊?
新人欢迎积分0 阅读权限255积分2350精华0UID2147718帖子金钱2283 威望0
UID2147718帖子威望0 多玩草12 草元宝
我勒个去啊坑 发表于
我的每个英雄都没法替换,为什么啊?
什么提示?请截图
多玩DOTA2盒子反馈交流群:
新人欢迎积分0 阅读权限10积分11精华0UID帖子金钱266 威望0
Lv.1, 积分 11, 距离下一级还需 4 积分
UID帖子威望0 多玩草0 草元宝
没有司夜刺客的达贡
新人欢迎积分1 阅读权限20积分40精华0UID帖子金钱104 威望0
Lv.2, 积分 40, 距离下一级还需 10 积分
UID帖子威望0 多玩草0 草元宝
为什么替换了饰品后就总是 自动退出游戏 除了这点以外个人觉得盒子做得非常好 顶!!
新人欢迎积分1 阅读权限20积分21精华0UID帖子金钱106 威望0
Lv.2, 积分 21, 距离下一级还需 29 积分
UID帖子威望0 多玩草0 草元宝
满怀冰玉 发表于
什么提示?请截图
19:07:09 上传
但进了游戏里没有,其他的都是相同情况
新人欢迎积分1 阅读权限20积分23精华0UID帖子金钱39 威望0
Lv.2, 积分 23, 距离下一级还需 27 积分
UID帖子威望0 多玩草0 草元宝
英雄:&&军团指挥官
饰品名称: 至宝 战鬼双刃
问题描述: 只有一把刀&&另一把在移动时在脚下 也没有动作
新人欢迎积分0 阅读权限20积分24精华0UID帖子金钱12 威望0
Lv.2, 积分 24, 距离下一级还需 26 积分
UID帖子威望0 多玩草0 草元宝
杨利锋 发表于
英雄:&&军团指挥官
饰品名称: 至宝 战鬼双刃
问题描述: 只有一把刀&&另一把在移动时在脚下 也没有动作
对 我昨晚上刚用过
新人欢迎积分0 阅读权限1积分1精华0UID帖子金钱11 威望0
Lv.0, 积分 1, 距离下一级还需 4 积分
UID帖子威望0 多玩草0 草元宝
我的也没有用,说是替换成功,但进游戏里没有
新人欢迎积分0 阅读权限50积分1154精华0UID帖子金钱2198 威望0
Lv.5, 积分 1154, 距离下一级还需 1346 积分
UID帖子威望0 多玩草0 草元宝
恐怖利刃的饰品不全只有个武器,帅气的外套那几个部分没有
新人欢迎积分0 阅读权限30积分240精华0UID帖子金钱349 威望0
Lv.3, 积分 240, 距离下一级还需 10 积分
UID帖子威望0 多玩草0 草元宝
英雄:幽鬼
饰品名称:净魂之刃
问题描述:武器不完整
新人欢迎积分1 阅读权限20积分23精华0UID帖子金钱39 威望0
Lv.2, 积分 23, 距离下一级还需 27 积分
UID帖子威望0 多玩草0 草元宝
awdawada1 发表于
对 我昨晚上刚用过
和我一样?
新人欢迎积分0 阅读权限20积分16精华0UID帖子金钱35 威望0
Lv.2, 积分 16, 距离下一级还需 34 积分
UID帖子威望0 多玩草0 草元宝
怎么不能用了啊,今天上去替换的饰品全部消失了
新人欢迎积分0 阅读权限50积分1154精华0UID帖子金钱2198 威望0
Lv.5, 积分 1154, 距离下一级还需 1346 积分
UID帖子威望0 多玩草0 草元宝
界面替换一直不管用
新人欢迎积分1 阅读权限20积分21精华0UID帖子金钱65 威望0
Lv.2, 积分 21, 距离下一级还需 29 积分
UID帖子威望0 多玩草0 草元宝
噬魂鬼 生化战甲 套装不完整
需要金钱:1100
Powered by
手机盒子客户端点击或扫描下载}

我要回帖

更多关于 dota半屏怎么办 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信