帮忙看一下网址的含义各部分的含义:http://aqs.aaaa.com/kszqxq.aspx?id=4739&uid=1234&p=1

当前位置:
源文件浏览
[ 4000金币]
,下载次数:1 次
| 关键字:
Emerald.ssk[11KB]
IrisSkin2.dll[504KB]
Repast.exe[835KB]
Repast.mdb[2.63MB]
Repast.mdbbak[2.68MB]
Repast.pdb[693KB]
Repast.vshost.exe[11KB]
Repast.vshost.exe.manifest[490B]
XPGreen.ssk[15KB]
Emerald.ssk[11KB]
IrisSkin2.dll[504KB]
Repast.exe[835KB]
Repast.mdb[2.63MB]
Repast.mdbbak[2.68MB]
Repast.pdb[693KB]
Repast.vshost.exe[11KB]
Repast.vshost.exe.manifest[490B]
XPGreen.ssk[15KB]
BusinessManagement
BusinessReport
My Project
Application.myapp[472B]
Settings.settings[279B]
My Project.Resources.Designer.vb.dll[7KB]
Repast.BinKeYuDing.resources[2KB]
Repast.CaiPinChaXun.resources[180B]
Repast.CaiPinWeiHu.resources[180B]
Repast.CaiPinXiangMu.resources[180B]
Repast.CaoZuoYuanGuanLi.resources[180B]
Repast.DataBF.resources[180B]
Repast.exe[835KB]
Repast.FaSongDuanXin.resources[5KB]
Repast.FormConfig.resources[2KB]
Repast.FormLogin.resources[28KB]
Repast.FormMain.resources[108KB]
Repast.FormMiMa.resources[180B]
Repast.FrmPrint.resources[180B]
Repast.HuiYuanBuKa.resources[5KB]
Repast.HuiYuanChaKan.resources[180B]
Repast.HuiYuanChongZhi.resources[180B]
Repast.HuiYuanKaHao.resources[180B]
Repast.HuiYuanLuRu.resources[2KB]
Repast.HuiYuanTiXing.resources[180B]
Repast.HuiYuanZiLiao.resources[8KB]
Repast.JiBenSheZhi.resources[180B]
Repast.JiBieSheZhi.resources[180B]
Repast.JieZhang.resources[180B]
Repast.JieZhangDanChaXun.resources[180B]
Repast.JiFenDuiHuan.resources[12KB]
Repast.KaChongZhiJiLu.resources[180B]
Repast.KaXiaoFeiTongJi.resources[180B]
Repast.KouWeiForm.resources[180B]
Repast.LockSystemUI.resources[180B]
Repast.MeiRiMingXi.resources[180B]
Repast.pdb[693KB]
Repast.Resources.resources[34KB]
Repast.ShiBieMa.resources[180B]
Repast.ShouLuXinHuiYuan.resources[180B]
Repast.ShuJuGengXin.resources[180B]
Repast.TianJiaCaoZuoYuan.resources[180B]
Repast.TianJiaChangSuo.resources[180B]
Repast.TianJiaLeiBie.resources[180B]
Repast.TianJiaYuanGong.resources[180B]
Repast.vbproj.GenerateResource.Cache[4KB]
Repast.XiangMuLeibie.resources[180B]
Repast.XiaoFeiMingXi.resources[180B]
Repast.XiaoShouXiouGai.resources[180B]
Repast.XiaoShouYuanSelect.resources[180B]
Repast.XinXiXiouGai.resources[180B]
Repast.XiouGaiDangQianMiMa.resources[180B]
Repast.YingYeChangSuo.resources[180B]
Repast.YuanGongGuanLi.resources[180B]
Repast.YuDingGuanLi.resources[2KB]
Repast.YuDingXianShi.resources[180B]
Repast.ZhuanBingTai.resources[180B]
Repast.ZhuCe.resources[180B]
Repast.ZhuoTaiCaoZuo.resources[180B]
Repast.ZhuoTaiGuanLi.resources[180B]
ResolveAssemblyReference.cache[5KB]
My Project.Resources.Designer.vb.dll[7KB]
Repast.BinKeYuDing.resources[2KB]
Repast.CaiPinChaXun.resources[180B]
Repast.CaiPinWeiHu.resources[180B]
Repast.CaiPinXiangMu.resources[180B]
Repast.CaoZuoYuanGuanLi.resources[180B]
Repast.DataBF.resources[180B]
Repast.exe[795KB]
Repast.FaSongDuanXin.resources[5KB]
Repast.FormConfig.resources[2KB]
Repast.FormLogin.resources[28KB]
Repast.FormMain.resources[108KB]
Repast.FormMiMa.resources[180B]
Repast.FrmPrint.resources[180B]
Repast.HuiYuanBuKa.resources[5KB]
Repast.HuiYuanChaKan.resources[180B]
Repast.HuiYuanChongZhi.resources[180B]
Repast.HuiYuanKaHao.resources[180B]
Repast.HuiYuanLuRu.resources[2KB]
Repast.HuiYuanTiXing.resources[180B]
Repast.HuiYuanZiLiao.resources[8KB]
Repast.JiBenSheZhi.resources[180B]
Repast.JiBieSheZhi.resources[180B]
Repast.JieZhang.resources[180B]
Repast.JieZhangDanChaXun.resources[180B]
Repast.JiFenDuiHuan.resources[12KB]
Repast.KaChongZhiJiLu.resources[180B]
Repast.KaXiaoFeiTongJi.resources[180B]
Repast.KouWeiForm.resources[180B]
Repast.LockSystemUI.resources[180B]
Repast.MeiRiMingXi.resources[180B]
Repast.pdb[629KB]
Repast.Resources.resources[34KB]
Repast.ShiBieMa.resources[180B]
Repast.ShouLuXinHuiYuan.resources[180B]
Repast.ShuJuGengXin.resources[180B]
Repast.TianJiaCaoZuoYuan.resources[180B]
Repast.TianJiaChangSuo.resources[180B]
Repast.TianJiaLeiBie.resources[180B]
Repast.TianJiaYuanGong.resources[180B]
Repast.vbproj.GenerateResource.Cache[4KB]
Repast.XiangMuLeibie.resources[180B]
Repast.XiaoFeiMingXi.resources[180B]
Repast.XiaoShouXiouGai.resources[180B]
Repast.XiaoShouYuanSelect.resources[180B]
Repast.XinXiXiouGai.resources[180B]
Repast.XiouGaiDangQianMiMa.resources[180B]
Repast.YingYeChangSuo.resources[180B]
Repast.YuanGongGuanLi.resources[180B]
Repast.YuDingGuanLi.resources[2KB]
Repast.YuDingXianShi.resources[180B]
Repast.ZhuanBingTai.resources[180B]
Repast.ZhuCe.resources[180B]
Repast.ZhuoTaiCaoZuo.resources[180B]
Repast.ZhuoTaiGuanLi.resources[180B]
ResolveAssemblyReference.cache[5KB]
My Project.Resources.Designer.vb.dll[7KB]
Repast.BinKeYuDing.resources[2KB]
Repast.CaiPinChaXun.resources[180B]
Repast.CaiPinWeiHu.resources[180B]
Repast.CaiPinXiangMu.resources[180B]
Repast.CaoZuoYuanGuanLi.resources[180B]
Repast.DataBF.resources[180B]
Repast.exe[835KB]
Repast.FaSongDuanXin.resources[5KB]
Repast.FormConfig.resources[2KB]
Repast.FormLogin.resources[28KB]
Repast.FormMain.resources[108KB]
Repast.FormMiMa.resources[180B]
Repast.FrmPrint.resources[180B]
Repast.HuiYuanBuKa.resources[5KB]
Repast.HuiYuanChaKan.resources[180B]
Repast.HuiYuanChongZhi.resources[180B]
Repast.HuiYuanKaHao.resources[180B]
Repast.HuiYuanLuRu.resources[2KB]
Repast.HuiYuanTiXing.resources[180B]
Repast.HuiYuanZiLiao.resources[8KB]
Repast.JiBenSheZhi.resources[180B]
Repast.JiBieSheZhi.resources[180B]
Repast.JieZhang.resources[180B]
Repast.JieZhangDanChaXun.resources[180B]
Repast.JiFenDuiHuan.resources[12KB]
Repast.KaChongZhiJiLu.resources[180B]
Repast.KaXiaoFeiTongJi.resources[180B]
Repast.KouWeiForm.resources[180B]
Repast.LockSystemUI.resources[180B]
Repast.MeiRiMingXi.resources[180B]
Repast.pdb[693KB]
Repast.Resources.resources[34KB]
Repast.ShiBieMa.resources[180B]
Repast.ShouLuXinHuiYuan.resources[180B]
Repast.ShuJuGengXin.resources[180B]
Repast.TianJiaCaoZuoYuan.resources[180B]
Repast.TianJiaChangSuo.resources[180B]
Repast.TianJiaLeiBie.resources[180B]
Repast.TianJiaYuanGong.resources[180B]
Repast.vbproj.GenerateResource.Cache[4KB]
Repast.XiangMuLeibie.resources[180B]
Repast.XiaoFeiMingXi.resources[180B]
Repast.XiaoShouXiouGai.resources[180B]
Repast.XiaoShouYuanSelect.resources[180B]
Repast.XinXiXiouGai.resources[180B]
Repast.XiouGaiDangQianMiMa.resources[180B]
Repast.YingYeChangSuo.resources[180B]
Repast.YuanGongGuanLi.resources[180B]
Repast.YuDingGuanLi.resources[2KB]
Repast.YuDingXianShi.resources[180B]
Repast.ZhuanBingTai.resources[180B]
Repast.ZhuCe.resources[180B]
Repast.ZhuoTaiCaoZuo.resources[180B]
Repast.ZhuoTaiGuanLi.resources[180B]
ResolveAssemblyReference.cache[5KB]
b_g.jpg[645B]
Thumbs.db[3KB]
SystemSettings
餐饮管理系统.CHM[2MB]
ClassDiagram1.cd[1B]
from.gif[4KB]
Pie Chart.ico[34KB]
Repast.sln[1KB]
Repast.suo[63KB]
Repast.vbproj[26KB]
Repast.vbproj.user[168B]
最新Asp.Net源码下载.url[125B]
当前路径:FormLogin.resx
&?xml version=&1.0& encoding=&utf-8&?&
Microsoft ResX Schema
Version 2.0
The primary goals of this format is to allow a simple XML format
that is mostly human readable. The generation and parsing of the
various data types are done through the TypeConverter classes
associated with the data types.
... ado.net/XML headers & schema ...
&resheader name=&resmimetype&&text/microsoft-resx&/resheader&
&resheader name=&version&&2.0&/resheader&
&resheader name=&reader&&System.Resources.ResXResourceReader, System.Windows.Forms, ...&/resheader&
&resheader name=&writer&&System.Resources.ResXResourceWriter, System.Windows.Forms, ...&/resheader&
&data name=&Name1&&&value&this is my long string&/value&&comment&this is a comment&/comment&&/data&
&data name=&Color1& type=&System.Drawing.Color, System.Drawing&&Blue&/data&
&data name=&Bitmap1& mimetype=&application/x-microsoft.net.object.binary.base64&&
&value&[base64 mime encoded serialized .NET Framework object]&/value&
&data name=&Icon1& type=&System.Drawing.Icon, System.Drawing& mimetype=&application/x-microsoft.net.object.bytearray.base64&&
&value&[base64 mime encoded string representing a byte array form of the .NET Framework object]&/value&
&comment&This is a comment&/comment&
There are any number of &resheader& rows that contain simple
name/value pairs.
Each data row contains a name, and value. The row also contains a
type or mimetype. Type corresponds to a .NET class that support
text/value conversion through the TypeConverter architecture.
Classes that don't support this are serialized and stored with the
mimetype set.
The mimetype is used for serialized objects, and tells the
ResXResourceReader how to depersist the object. This is currently not
extensible. For a given mimetype the value must be set accordingly:
Note - application/x-microsoft.net.object.binary.base64 is the format
that the ResXResourceWriter will generate, however the reader can
read any of the formats listed below.
mimetype: application/x-microsoft.net.object.binary.base64
: The object must be serialized with
: System.Runtime.Serialization.Formatters.Binary.BinaryFormatter
: and then encoded with base64 encoding.
mimetype: application/x-microsoft.net.object.soap.base64
: The object must be serialized with
: System.Runtime.Serialization.Formatters.Soap.SoapFormatter
: and then encoded with base64 encoding.
mimetype: application/x-microsoft.net.object.bytearray.base64
: The object must be serialized into a byte array
: using ponentModel.TypeConverter
: and then encoded with base64 encoding.
&xsd:schema id=&root& xmlns=&& xmlns:xsd=&http://www.w3.org/2001/XMLSchema& xmlns:msdata=&urn:schemas-microsoft-com:xml-msdata&&
&xsd:import namespace=&http://www.w3.org/XML/1998/namespace& /&
&xsd:element name=&root& msdata:IsDataSet=&true&&
&xsd:complexType&
&xsd:choice maxOccurs=&unbounded&&
&xsd:element name=&metadata&&
&xsd:complexType&
&xsd:sequence&
&xsd:element name=&value& type=&xsd:string& minOccurs=&0& /&
&/xsd:sequence&
&xsd:attribute name=&name& use=&required& type=&xsd:string& /&
&xsd:attribute name=&type& type=&xsd:string& /&
&xsd:attribute name=&mimetype& type=&xsd:string& /&
&xsd:attribute ref=&xml:space& /&
&/xsd:complexType&
&/xsd:element&
&xsd:element name=&assembly&&
&xsd:complexType&
&xsd:attribute name=&alias& type=&xsd:string& /&
&xsd:attribute name=&name& type=&xsd:string& /&
&/xsd:complexType&
&/xsd:element&
&xsd:element name=&data&&
&xsd:complexType&
&xsd:sequence&
&xsd:element name=&value& type=&xsd:string& minOccurs=&0& msdata:Ordinal=&1& /&
&xsd:element name=&comment& type=&xsd:string& minOccurs=&0& msdata:Ordinal=&2& /&
&/xsd:sequence&
&xsd:attribute name=&name& type=&xsd:string& use=&required& msdata:Ordinal=&1& /&
&xsd:attribute name=&type& type=&xsd:string& msdata:Ordinal=&3& /&
&xsd:attribute name=&mimetype& type=&xsd:string& msdata:Ordinal=&4& /&
&xsd:attribute ref=&xml:space& /&
&/xsd:complexType&
&/xsd:element&
&xsd:element name=&resheader&&
&xsd:complexType&
&xsd:sequence&
&xsd:element name=&value& type=&xsd:string& minOccurs=&0& msdata:Ordinal=&1& /&
&/xsd:sequence&
&xsd:attribute name=&name& type=&xsd:string& use=&required& /&
&/xsd:complexType&
&/xsd:element&
&/xsd:choice&
&/xsd:complexType&
&/xsd:element&
&/xsd:schema&
&resheader name=&resmimetype&&
&value&text/microsoft-resx&/value&
&/resheader&
&resheader name=&version&&
&value&2.0&/value&
&/resheader&
&resheader name=&reader&&
&value&System.Resources.ResXResourceReader, System.Windows.Forms, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c&/value&
&/resheader&
&resheader name=&writer&&
&value&System.Resources.ResXResourceWriter, System.Windows.Forms, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c&/value&
&/resheader&
&metadata name=&SkinEngine1.TrayLocation& type=&System.Drawing.Point, System.Drawing, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b03f5f7f11d50a3a&&
&value&17, 17&/value&
&/metadata&
&assembly alias=&System.Drawing& name=&System.Drawing, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b03f5f7f11d50a3a& /&
&data name=&PictureBox2.Image& type=&System.Drawing.Bitmap, System.Drawing& mimetype=&application/x-microsoft.net.object.bytearray.base64&&
R0lGODlhEAAQAMQAAP///+7u7t3d3bu7u6qqqpmZmYiIiHd3d2ZmZlVVVURERDMzMyIiIhEREQARAAAA
AP///wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACH/C05FVFNDQVBF
Mi4wAwEAAAAh+QQFBwAQACwAAAAAEAAQAAAFdyAkQgGJJOWoQgIjBM8jkKsoPEzgyMGsCjPDw7ADpkQB
xRDmSCRetpRA6Rj4kFBkgLC4IlUGhbNQIwXOYYWCXDufzYPDMaoKGBoKb886OjAKdgZAAgQkfCwzAgsD
BAUCgl8jAQkHEAVkAoA1AgczlyIDczUDA2UhACH5BAUHABAALAAAAAAPABAAAAVjICSO0IGIATkqIiMK
DaGKC8Q49jPMYsE0hQdrlABCGgvT45FKiRKQhWA0mPKGPAgBcTjsspBCAoH4gl+FmXNEUEBVAYHToJAV
ZK/XWoQQDAgBZioHaX8igigFKYYQVlkCjiMhACH5BAUHABAALAAAAAAQAA8AAAVgICSOUGGQqIiIChME
Syo6CdQGdRqUENESI8FAdFgAFwqDISYwPB4CVSMnEhSej+FogNhtHyfRQFmIol5owmEta/fcKITB6y4c
hoMBmk7yGgSAEAJ8JAVDgQFmKUCCZnwhACH5BAUHABAALAAAAAAQABAAAAViICSOYkGe4hFAiSImAwot
B+si6Co2QxvjAYHIgBAqDoWCK2Bq6A40iA4yYMggNZKwGFgVCAQZotFwwJIF4QnxaC9IsZNgLtAJDKbr
aJCGzPVSIgEDXVNXA0JdgH6ChoCKKCEAIfkEBQcAEAAsAAAAABAADgAABUkgJI7QcZComIjPw6bs2kIN
LB5uW9Bo0gyQx8LkKgVHiccKVdyRlqjFSAApOKOtR810StVeU9RAmLqOxi0qRG3LptikAVQEh4UAACH5
BAUHABAALAAAAAAQABAAAAVxICSO0DCQKBQQonGIh5AGB2sYkMHIqYAIN0EDRxoQZIaC6bAoMRSiwMAw
CIwCggRkwRMJWKSAomBVCc5lUiGRUBjO6FSBwWggwijBooDCdiFfIlBRAlYBZQ0PWRANaSkED1oQYHgj
DA8nM3kPfCmejiEAIfkEBQcAEAAsAAAAABAAEAAABWAgJI6QIJCoOIhFwabsSbiFAotGMEMKgZoB3cBU
QIgURpFgmEI0EqjACYXwiYJBGAGBgGIDWsVicbiNEgSsGbKCIMCwA4IBCRgXt8bDACkvYQF6U1OADg8m
DlaACQtwJCEAIfkEBQcAEAAsAAABABAADwAABV4gJEKCOAwiMa4Q2qIDwq4wiriBmItCCREHUsIwCgh2
q8MiyEKODK7ZbHCoqqSjWGKI1d2kRp+RAWGyHg+DQUEmKliGx4HBKECIMwG61AgssAQPKA19EAxRKz4Q
CVIhACH5BAUHABAALAAAAAAQABAAAAVjICSOUBCQqHhCgiAOKyqcLVvEZOC2geGiK5NpQBAZCilgAYFM
ogo/J0lgqEpHgoO2+GIMUL6p4vFojhQNg8rxWLgYBQJCASkwEKLC17hYFJtRIwwBfRAJDk4ObwsidEkr
WkkhACH5BAUHABAALAAAAQAQAA8AAAVcICSOUGAGAqmKpjis6vmuqSrUxQyPhDEEtpUOgmgYETCCcrB4
OBWwQsGHEhQatVFhB/mNAojFVsQgBhgKpSHRTRxEhGwhoRg0CCXYAkKHHPZCZRAKUERZMAYGMCEAIfkE
BQcAEAAsAAABABAADwAABV0gJI4kFJToGAilwKLCST6PUcrB8A70844CXenwILRkIoYyBRk4BQlHo3FI
OQmvAEGBMpYSop/IgPBCFpCqIuEsIESHgkgoJxwQAjSzwb1DClwwgQhgAVVMIgVyKCEAIfkECQcAEAAs
AAAAABAAEAAABWQgJI5kSQ6NYK7Dw6xr8hCw+ELC85hCIAq3Am0U6JUKjkHJNzIsFAqDqShQHRhY6bKq
gvgGCZOSFDhAUiWCYQwJSxGHKqGAE/5EqIHBjOgyRQELCBB7EAQHfySDhGYQdDWGQyUhADs=
&data name=&Panel1.BackgroundImage& type=&System.Drawing.Bitmap, System.Drawing& mimetype=&application/x-microsoft.net.object.bytearray.base64&&
/9j/4AAQSkZJRgABAQEAYABgAAD/2wBDAAMCAgMCAgMDAwMEAwMEBQgFBQQEBQoHBwYIDAoMDAsKCwsN
DhIQDQ4RDgsLEBYQERMUFRUVDA8XGBYUGBIUFRT/2wBDAQMEBAUEBQkFBQkUDQsNFBQUFBQUFBQUFBQU
FBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBQUFBT/wAARCAEtAvwDASIAAhEBAxEB/8QA
HwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIh
MUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVW
V1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG
x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEAAwEBAQEBAQEBAQAAAAAAAAECAwQF
BgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSExBhJBUQdhcRMiMoEIFEKRobHBCSMzUvAV
YnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElKU1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOE
hYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq
8vP09fb3+Pn6/9oADAMBAAIRAxEAPwD9U6KKKAIj1ppxTj1ppFACUcelA5opoBT..."cells": [
"cell_type": "markdown",
"metadata": {
"heading_collapsed": false
"source": [
"# Nuclear Data"
"cell_type": "markdown",
"metadata": {},
"source": [
"This notebook downloads and prepares various databases of nuclear binding energies for use elsewhere.
It should only need to be run once when it will download the data, and defined the interpretation code.
Data is stored in the ``_data`` directory.
The data is presented in terms of importable modules which contain a ``data`` list whos first three elements are ``(Nn, Np, E+dE*1j)`` where the binding energies ``E`` are expressed in units of MeV.
This can be extracted as\n",
"```python\n",
"import numpy as np\n",
"Nn, Np, E = np.asarray(data).T[:3]\n",
"RMS charge radii are also included."
"cell_type": "markdown",
"metadata": {
"heading_collapsed": false
"source": [
"## Executive Summary"
"cell_type": "markdown",
"metadata": {},
"source": [
"After executing the first part of this notebook, the following mass and charge radii data can be imported:"
"cell_type": "code",
"execution_count": 1,
"metadata": {
"collapsed": true
"outputs": [],
"source": [
"import numpy as np"
"cell_type": "code",
"execution_count": 15,
"metadata": {
"collapsed": false
"outputs": [],
"source": [
"import audi2003\n",
"Nn, Np, E = np.asarray(audi2003.data).T[:3]\n",
"import audi2012\n",
"Nn, Np, E = np.asarray(audi2012.data).T[:3]\n",
"import angeli2013\n",
"Nn, Np, R = np.asarray(angeli2013.data).T[:3]"
"cell_type": "markdown",
"metadata": {
"heading_collapsed": false
"source": [
"## Downloading Data"
"cell_type": "markdown",
"metadata": {},
"source": [
"Here we download the raw data files:\n",
"We start by loading the data, excluding all excited states and states that depend on trends in the mass surface (TMS). The primary data source is the largest data source of masses from [Audi:2012] and [Wang:2012].
The data file is from:\n",
"* http://amdc.in2p3.fr/nubase/nubtab12.asc\n",
"* http://amdc./evaluation/data2012/data/nubase.mas12\n",
"Optionally, one can use the previous [Audi:2003] data from:\n",
"* http://amdc.in2p3.fr/masstables/Ame2003/mass.mas03\n",
"Charge radius data comes from [Angeli:2013]. This data had to be extracted manually from the PDF file:\n",
"* ``charge_radii.xls``\n",
"[Audi:2012]: http://dx.doi.org/10.37/36/12/002 (G. Audi, M. Wang, A.H. Wapstra, F.G. Kondev, M. MacCormick, X. Xu, and B. Pfeiffer, \"The Ame2012 atomic mass evaluation\", Chinese Physics C 36(12), ))\n",
"[Wang:2012]: http://dx.doi.org/10.37/36/12/003 (M. Wang, G. Audi, A.H. Wapstra, F.G. Kondev, M. MacCormick, X. Xu, and B. Pfeiffer, \"The Ame2012 atomic mass evaluation\", Chinese Physics C 36(12), ))\n",
"[Angeli:2013]: http://dx.doi.org/10.1016/j.adt. (I. Angeli and K.P. Marinova, \"Table of experimental nuclear ground state charge radii: An update\", Atomic Data and Nuclear Data Tables 99(1), 69 - 95 (2013))"
"cell_type": "markdown",
"metadata": {
"heading_collapsed": false
"source": [
"## Loading the Data"
"cell_type": "code",
"execution_count": 3,
"metadata": {
"collapsed": false
"outputs": [],
"source": [
"%matplotlib inline\n",
"from matplotlib import pyplot as plt\n",
"def display_raw_data(mod):\n",
Nn, Np, E = np.asarray(mod.data).T[:3]\n",
fig = plt.figure(figsize=(10,5))\n",
plt.subplot(121)\n",
plt.errorbar(Np, E.real/(Nn+Np), E.imag/(Nn+Np), ls='', fmt='+')\n",
plt.xlabel('Z')\n",
plt.ylabel('Experimental Binding energy per nucleon $E/A$ (MeV)')\n",
plt.subplot(122)\n",
plt.errorbar(Nn+Np, E.real/(Nn+Np), E.imag/(Nn+Np), ls='', fmt='+')\n",
plt.xlabel('A')\n",
plt.suptitle(': '.join((mod.description, \"%i nuclei\" % (len(E),))))\n",
plt.close()\n",
return fig"
"cell_type": "markdown",
"metadata": {
"heading_collapsed": false
"source": [
"### Audi 2003"
"cell_type": "code",
"execution_count": 4,
"metadata": {
"collapsed": false
"outputs": [
"name": "stdout",
"output_type": "stream",
"Overwriting audi2003.py\n"
"source": [
"%%file audi2003.py\n",
"\"\"\"Audi 2003 data from \n",
"http://amdc.in2p3.fr/masstables/Ame2003/mass.mas03\n",
"Some sanity checks to exclude data with bad errors from\n",
"George Betsch's code.\n",
"\"\"\"\n",
"from __future__ import division\n",
"import os.path\n",
"import numpy as np\n",
"__all__ = ['data', 'description']\n",
"_DATA_DIR = os.path.join(os.path.dirname(__file__),'_data')\n",
"filename = 'mass.mas03'\n",
"description = 'Audi 2003 Mass Table'\n",
"data = []\n",
"skip_header = 39\n",
"with open(os.path.join(_DATA_DIR, filename)) as f:\n",
# The tables list the mass excess M in keV_90\n",
# The binding energy is Z*M_H + N*M_n - M\n",
M_H = \n",
M_n = \n",
for n, l in enumerate(f):\n",
if n <= skip_header:\n",
continue\n",
def read(n, _l=[l]):\n",
head = _l[0][:n]\n",
_l[0] = _l[0][n:]\n",
return head.strip()\n",
cc = read(1)\n",
NZ = int(read(3))\n",
N = int(read(5))\n",
Z = int(read(5))\n",
A = int(read(5))\n",
assert A == N + Z\n",
read(1)\n",
el = read(3)\n",
o = read(4)\n",
read(1)\n",
M = read(13)\n",
if M.endswith(\"#\"):\n",
#print(\"Skip: %s\" % (l,))\n",
continue\n",
M = float(M)\n",
M_err = float(read(11))\n",
E_A = float(read(11))\n",
E_err_A = float(read(9))\n",
assert np.allclose((M_H*Z + M_n*N - M)/A, E_A)\n",
read(1)\n",
B = read(2)\n",
beta = read(11)\n",
beta_err = read(9)\n",
read(1)\n",
int(read(3))\n",
read(1)\n",
amu = read(12)\n",
amu_err = read(11)\n",
E = (E_A + 1j*E_err_A) * A / 1000
# Express in MeV\n",
data.append((N, Z, E))\n",
#x = int(l[7:8])
# 0 for ground states...\n",
#err = l[8:9]\n",
#if x != 0 or err == 'W':\n",
#print(\"Skip: %s\" % (l,))\n",
continue\n",
#M, err = l[18:38].split()\n",
#if M.endswith('#'):\n",
#print(\"Skip: %s\" % (l,))\n",
continue\n",
# Convert to international MeV's\n",
#E = (M_H*Z + M_n*N - float(M) + float(err)*1j) / 1000. * (1+6.3e-8)\n",
#data.append((N, Z, E))\n"
"cell_type": "code",
"execution_count": 21,
"metadata": {
"collapsed": false
"outputs": [
"image/png": [
"iVBORw0KGgoAAAANSUhEUgAAAmMAAAFfCAYAAAACmMs2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\n",
"AAALEgAACxIB0t1+/AAAIABJREFUeJzsvXuYZFV19/9ZM8wwMMAMI5dR1OkoKshtQEGiIqUmShQN\n",
"KgY1RMfXu/LTiUnU5Je8NGoUiUaMY8QYY2PwTgSVIOKtEG+MIsNFQCOhQTEMEBhggLmv94+1d9fp\n",
"muruOtV17+/neerZ5+xz2ft011m19tprr2XujhBCCCGE6A3zet0BIYQQQoi5jJQxIYQQQogeImVM\n",
"CCGEEKKHSBkTQgghhOghUsaEEEIIIXqIlDEhhBBCiB4iZUyIHmFmJ5nZDjN7QgvXVszs62n7BWb2\n",
"zgbn/KmZXW1m15jZD83s8MKxE8zsRjP7r+K1ZrbMzL5lZr8ys0vNbGmqP8bMrkqfa8zslCn6VTWz\n",
"W+rqLjSz+8s+40yY2asLfdqS+nWVmb1vivM3TlE/ZmYvabEPf2hmP0tt/8zMnpnqdzOz/zSzG8zs\n",
"OjN7f+Gat5vZL9L/5ttm9uhU/8zC81xlZg+Z2Qtb6VeTfV9lZh+d4ZyG3y0hRHuRMiZE73g5cFEq\n",
"W8bdv+7uH2hw6L+BZ7j74cB7gH8BMLP5wBrgBOCJwMvN7OB0zbuAb7n744HvpH2Aa4EnufuRwHOA\n",
"j6X7NOIeM3taamsp8HCg7QEN3f3T7n5k6tNtQCXt/81Ul0xT32r/7gROTH/jVwH/Xjh2lrsfDBwJ\n",
"PM3MTkj1Pyf+lkcA5wNnpef5XuF5ngU8CFzaYr+aYcZnnua7JYRoI1LGhOgBZrYH8BTgNOCUQv2E\n",
"xSvtrzGzV6XtE5Kl5UrgRYVzGlo43P3H7n5v2r0CeGTaPgb4tbuPu/tW4AvAH6djLwTOTdvnAiel\n",
"ez3k7jtS/W7Ave6+vcGjOfBF4GVp/8XAfwCWnztZg65M1qQXpvrFyZK0zsyuNbOXpvozC1akf5j6\n",
"L1ojWeJ+lixSr6s79o+p/ttmtk/xUDr+pGTd+5mZXWJmy6dry93Xufvtafd6YDczW5D+Xpelc7YS\n",
"CtgBab/q7pvSNcX/S5GXAhcXzis+QzX9Xa4ws1+a2dNT/aTvgZldZGbHp+0T0t98nZl9q/jM6fi+\n",
"Zna+ma1Nn6c2uqcQojNIGROiN/wxcIm73wrcaWZHTXGeA25miwjL1onu/iRgOTXLRjNWndcAF6ft\n",
"A4DfFI79NtUB7O/u69P2emD/fFKaqvwF8Avg7dO09R3gGWY2j1A0v1g49hDwovQMzwI+lOpPAG5z\n",
"95XufhjwTTN7GHCSux+SrEjvaeI5AV7t7k8GjgbeamZ7p/rFwE/d/VDgMuD0wjVuZguAjwIvSdd/\n",
"Gvj79OxvMLM3zNDuS4Ark/I1QbIOviD9Xeop/l+KvAz4/BTtODDf3Z8CrK57jvrz3Mz2Jb47L3b3\n",
"lYSil49nPgJ82N2PAU4G/nWKewohOsAuve6AEHOUlwMfTttfTvs/n+JcAw4Cbnb3m1LdecDrC8en\n",
"JPkx/R/gaamqXnmzBnW4u5uZF/bXAoeY2UHAJWZWLVjeimwHfpCeaZG732I20cV5wPvN7DhgB/AI\n",
"M9sPuAb4oJmdCVzk7j8ws12ATWb2KWI696LpnrPA28zspLT9KOBxwNrUXlYMzwO+Uvc3eAJwCPDt\n",
"1N/5wO/Ss39iugbN7BDgTOAP6+p3IZSqj7j7eN2xU4GjgD+vq384cCjwzWmazH3/OTAyXdeAY4Hv\n",
"u/st6Vk2NDjvD4CDC/+nPc1s8TT3FUK0ESljQnQZM1sGPBM4NCk78wll6K+AbUy2WC9KZSMFqpm2\n",
"Dgc+CZzg7vek6tsIJSXzyFQHsN7Mlrv77UkpuKP+nu5+o5ndBBwIXNmgWSemPi9gZ6vNnwL7AEe5\n",
"+3Yzu5lQ2P7LzI4Eng+818y+4+7vMbNjgGcT1prT0vZ0z1tJ5xzr7pvM7HvU/oaTTqWxRfEX7v7U\n",
"6dpo0OYjCeXoz9z95rrD/wL80t3/qe6aPwD+hvDp21p3zZ8AX5liGjizOZXbqcnxZr87DR8DeIq7\n",
"b6nrp5IXC9EFNE0pRPc5GfiMu4+4+++5+6OBm5O1aBx4opktTNNbzyZ+TG8ERszsMekeMzr9p1V6\n",
"XwFOdfdfFw79DHicmY2Y2UJiKvFr6djXCEd0UnlhutdIsvJgZisIa9N/TdW2u18OvI+dp9r2Au5I\n",
"itgzgRXpng8HNrn7Z4EPAkcly8xSd/8GMS16xEzPnO5/T1LEDiKsQpl51KboXgFcXuwy8EtgXzM7\n",
"NvVpgZk9cbrG0v/oP4F3uvuP6469N/Wn3vJ1JHAO8AJ3v6vBbV/O1FOU0zEOrLTgUYRvoAM/IaaN\n",
"R1L7y3JXCtdeCry10MeVDc4RQnQIWcaE6D4vI6a0ivwH8DJ3f4uZfQm4DriZNHXp7pvN7PXAf5rZ\n",
"g4QikaeRploN+HfA3sDH0/TTVnc/xt23mdlpxDTYfOBT7n5DuuZM4Etm9hrix/1PUv3TgXeZ2VZg\n",
"K/B6d79vuod0938s7qbys8DXzewaQinM7R4G/IOZ7Uj3fyOwJ/DV5C9n1Ck19c2l8hLgjWZ2PaFc\n",
"FRWkB4BjzOxvCX+4SeE53H2rmZ0M/JOZLSHk44eB67O/WIPpytOAxwKnm1m2Av4hYZX6m/R8P09/\n",
"/4+6+78RqycXA+en+lvc/SQIpRc4IDv/N4mnvv0gWRqvT+1emervSt+dryQ/vvXAc5n8vXkrsUL2\n",
"6vTclwFvZurvlhCijZi73jMhhBBCiF6haUohhBBCiB4iZUwIIYQQoodIGRNCCCGE6CFSxoQQQggh\n",
"eoiUMSGEEEKIHiJlTAghhBCih0gZE0IIIYToIVLGhBBCCCF6iJQxIYQQQogeImVMCCGEEKKHSBkT\n",
"QgghhOghUsaEEEIIIXqIlDEhhBBCiB4iZUwIIYQQoodIGRNCCCGE6CE9UcbM7G1mdq2ZXWdmb+tF\n",
"H4QQolUkw4QQ7aTrypiZHQq8FjgaOAI40cwe2+1+CCFEK0iGCSHaTS8sYwcBV7j7JnffDlwGvLgH\n",
"/RBCiFaQDBNCtJVeKGPXAceZ2TIz2x14PvDIHvRDCCFaQTJMCNFWdul2g+5+o5l9ALgUeAC4CtjR\n",
"7X4IIUQrSIYJIdqNuXtvO2D2PuBWdz+nUNfbTgkheoK7W6/7UBbJMCEEzE5+dd0yBmBm+7n7HWb2\n",
"aOBFwFPqzxkUoWxmo+4+2ut+zIT62X4Gpa8D1M+BUWCGRYYN0HdjIPoJg9NX9bO9zFZ+9UQZA843\n",
"s4cBW4E3u/t9PeqHEEK0gmSYEKJt9CTOmLs/w90PcfeV7v69XvShV5ixukFdpQddEUK0yDDLsCyP\n",
"inJJMkqIzqII/LOnWtypF1pmrKmr/6vCsayYfby430hha3c/+5hqrztQgmqvO9Ak1V53QPQr/98G\n",
"CPmUPqshtlO52owLCtuV4qeLHa12sa3ZUu11B5qk2usONEm11x3oBj134G+Emfkg+Fs0wowxd1aZ\n",
"UXGnasbd7iwz4wZ3DjZjqzsL0rl3urOvGdvdmW/Gbe4cYMYGd5YW7rHGndNK9qPiPje+xGI4GOT3\n",
"vp5+f5aCbBklfuwqwAgRpuPKwqnLgQOB89PxTXW3ugTAnbOzclZG7khOiWFhtu+8lLE2U1Co1rpz\n",
"jBlbgRuAw4DtwPxUGmGZvAVYUSg/BrzZnXlm3O7OcjMecme3rJSZcZM7jy0qaWZc487hhXOy8rc6\n",
"CcrSCp0Q3WSQ3/t6+v1ZCkrYu1LVwcDDIQaKU7CVkFkPAncD+wO/TMd+QChtK4BPFK5ZB7wN+Aiw\n",
"slC3EngJ8B1gAzWFr5QyJ0S/IGWsx+RpSOCVhIBaQcQcatcU8LXAYe6YGZvd2dWMHUlZ2+zOrqkf\n",
"ue4Bdxabsc2dXQrWt1yflbXV7pzdpj4KMWsG6b2fiX58loI17HJi9afRnkVcDjwE3A88jBhYbgT2\n",
"IBS4xwDfI6xsuW4BISt/nbZ3Ae4gFLwPSzaJQaMnypiZLQLc3Te32vAM9+87QTYVZtwNfA54ExEA\n",
"ck9COHWq/3cB+yTlzN2jHTOcsKq9hemtbdnKlqdCpZSJvmCQ3vuZ6MdnMWMt8GhgKcQgroM4oXR5\n",
"auueVL8QWEQMVq1wrgPbCKXsZmIQels6fr6sZaLf6YoyZmbzgJOAlwNPpfYibQd+DHwWuNDbZGbr\n",
"R0GWqVdezNhGCJp9eter0twFPKxOObvJHSU7Fj2jn9/7svT6WQpWsEqhugKcAjyewVi8tYmwuP2a\n",
"UOD+DVgnxUz0I91Sxr4PXA58DViXLWJmtitwJPBC4Onu/oxWO1LXXl8I5YK/1YSTqRnrgANoTfnq\n",
"pMVsNlwLHOoeArowtXmnO/v2uG9ijtAv73076MWzNFC8qsBZwBOB3RgMBaweBzYTFrXbgfuAGwmr\n",
"2RHA34H8zETv6ZYyttDdt8xwzq7tmrbsF6Fsxjp3Vpox6s5oqrsPGCcc8lv1Dct/9J4/Yx3XpkUA\n",
"XpwGlXImukG/vPftoEfK2Bghm0YK5XLgeGLh0HwGUyErsp2Y/twKLAZ+leqzgvbrtC8Lmugq3VLG\n",
"/hn4nLv/oNWGytAroVw07adyYjUjtVVG85u4VbaAbSFGdNNZxPIKy3Y6/c+aOmWsvtzozh7Z76zX\n",
"fRXDgZSxsm1MstivBv5/wkF+Ac3JqanYQcirQfhfbCPka1bS7geWEdb+tekcKWii43RLGVtN+Bo8\n",
"Avgi8Hl3v6rVRptor1fK2BhwFHAo8YLXK0f1SlXev5awlOVypvO2p/rZCMxGdHIadAcwbwbl7DZ3\n",
"DuhQ+2LIkTJWtg1Giam65zN9SIqZ2EbIur4ZDM6CPKjN/mYQq9wPoLYoIC8M+DVS0ESb6OpqSjMb\n",
"AV5GKGa7E6sIP+/uv5rmsvKd6p0ydhtwAbEy8jdMXpHYTrYSwmLPwv3vT/t52fdM9Nr/bErlDCb5\n",
"nWlhgGgKKWNl7s9qYlXkKcDjUvVsB3dZpuRVjcNCfp4HiL9RVtIeIv6Gl1JQ0LS6XLRCz+KMmdmR\n",
"wKeBw9y9rRaebgrlFCfsRMK0vSetTRfeRTj014eU+AHwNOB/CKviZmKZdxlFKp+7iVhR1OjaXNfL\n",
"qc4J5Qwi1MYU1jMpZ6IhUsaaue+EvFrO7MNTOPHeOjVFbij+/k2wg5ihWEDI1q2E0pbrvodCa4gS\n",
"zPadL/XDbWa7mNkLzexzRBqMG4EXt9p4n7EolfV/k62pvJ9QsvJ2sczTjvke2Y/qEEK43Zf2f5JK\n",
"L1yzLZV5gUSu35HKh9L2vXXHKez3Q+TevBLTU8yzehan8jHpvDtTeU13uifE4JOyaFxE+KI2Yjtw\n",
"NSGr7mVneTFxK2pZQHZhso9YVtC2Fc51QmnZQuvyJsvSfpFXeQZiETEQX0IMypcAzwNeDbwC+LgZ\n",
"F5hxjRKmi07RrM/Yc4jpyecTTpGfB77m7hs70qkujZDTi/VlmgtTcW0qi2mNskArpjlqxhk/j0jn\n",
"Az8Fnkwt+nSerszlPam8g7Cu/S6V9wB7N/OcfcR0fmeT0jn1uqOi+8gyNtM9uYYY4EF5C/gmQvko\n",
"BlstkpWshVMcbwd5mnA9MWBdQsjMqfrUDxQXCOxKpH+6EbhNckoU6ZZl7F1EcNeD3f0F7v65Tili\n",
"XaaSQjV8M02v7UjlNmLqschh6QM1wbGReFF/m/bHCYXjgbRfr+luL5R51LkfMX35QLo2W8Ty9mXu\n",
"LEiO8Wek8pvuLAMeSP39WGorW+qm0rBzm+tT+WBdvzrNhPUslcV2D03lG9Kxy/MBjUaFAHcOB94D\n",
"PJt4h88lBmfbqVnW76extXwRoQjV/1hsJxS1bPnKLhFbqcmifK+smBQt+8VzNqX2r07b+VhmMaHQ\n",
"3EHkp7wJ+MsU3/Ay4AxC7s7G+tZudiGU2EXE3+bxwAnAK8243IzbJJ9EO2hKGXP3Z7n7J4ENZvZn\n",
"ZvZ/Aczs0WZ2TEd72FlGUnlQKiemDpOStikpO9vrlJ4s+PYkXtDs4P8Y4m+6R9rPwigrZ1lpu79w\n",
"j+XEiEkJog+YysNGMcWJzK16d+5HYb+ZQV+5N9z3JcuGK/8lTstHHl2kRRObOknGXH\n",
"4acWzvtCOq/ShT4J0e9UiHd9nLDYn0MMljcTVptt7KwIQeNBlxEK0q6EPIOQD9mKlnmQkA13pGs2\n",
"Uht4bkl1C9M9jqCmvGQZs5WYNrV0r6XA3Smo9hrgcGA1MUuRB43NkGcZusU8atOaTyVmKfI05ppp\n",
"rxRiGsqupjyH+OI/y90PMrNlwKXu/uS2dqqD0xUNHPabdaZ/kBBmxRWQtxAv451MdtDP5Q+IlU7n\n",
"Exaf2wrXAWHxykFlC/HNqu5UinGEUt/r99dSs24dTyhuzwDeDnyIGEF/A/gA8JfAB4FTCQF+PKH4\n",
"7EltejVb1vYAbk19zbHSZqITqzvvSisy81Tmfe7sZcbl7hzX5rZEj9E05VT3Yg1wMrGCfc8ZTp+O\n",
"7Au2iYjI/xBhrVpPKBjXAkcTCt0RqT6HiPhillFMju7/IDGoXUFYxJYTsuNuImL+OPA3wI/SNSPu\n",
"rErPtZN8A1a5s6rQTgV4JPAo4IbU1oWpncOA/07lXvR2qnMLoUTeRCidd7nzoh72R3SZ2b7zZZcv\n",
"P8XdjzSzqwDc/W4zm018m66T5vlPS/4XOYp+0c9rKr+v3Qvbj0zlgnTefxHK2P+mMvP76V6vTPe9\n",
"CNijIIzG6vpWTZvjdfv1xzPXp3Ofl+7/NEIgfTgd/3bafzaxImjUDHI2gdSHnGVgrNCvS9w5wYxL\n",
"0vM0ip1WTycE4T6FKc1xYM/0w/TUVHdNmroRYmhx5zQzjiH8xaZbTV1fVx8rMTvs58U0uxNy478I\n",
"ZSf7RW0gBmZLCCVtBfBGM1YRMuyuNGj8HGHhGiFyF19IKE/jwHgha8mxwJnpmtHCc1XrnrOYS/Nk\n",
"woG+KHePSOWrCnUPLzx7MbPJA4Xn7AYL0+dQkh9c+o25Ca3KFE1QVhnbYmYTYSzMbF+6ayKeNQXL\n",
"WOa3hLD5GpFn8w5idJiF0XrCr+uf0/mvJ/w0VlBLMbI74VD/ScLUfhLwr8SK01MJ4bYitbu04KQ+\n",
"lu5ZrevmGM0xlgTYPoQvwwLif1pcWLCV8MOYqq11qRwv1J2Zyp8kBW41cCDwFmoLC7od5yxPBb+Z\n",
"mNJcQ/IzM2Or+6yCXgrR71ycyscTlutiOKGNhNK0lVgQtJJ4r5cS1qljgGsIK/1uhJKSM4PMJwJd\n",
"OyH71lOTERem+1TqBnAVgGI8LjNuAFalfhwPrC8ob2cWlJBcTkU13fs0C+lyMjWlMDv7byQscvsD\n",
"3yeUwW2ENe7A9NzdVMSKGLXf1UOAJ1Cbqn212URMMwWcFZNx96Y/hGLxNeLL9D4iL9iflLlHk+14\n",
"u+9Zu7dfA74NfDu4Fz55f1sqN6XycvCNhevHwdeAP5S2Hfy+unI8Xb8GfDRdl8tKh56rkvtXLEtc\n",
"t1O/wCvpGcYLz7ajrnwolbelslqo68Zna3xdHPCtqdzQqe+PPp37dPK9H8RnKbx7Wc7smOIduA/8\n",
"9vQu1Mu1/NmYZNJa8FHwampjrK7N0VRW6utm6Gup80s+/4aCTN6aZE21IG/yOXcX/lYbwLeAP5Ce\n",
"3dP+RvAb0t9gLNVN9Tdr5ye3sTn187bUjwvAb6JDvwv6dO8z23e+dNBXMzuYmPYC+I6731DqBs21\n",
"4d5Zn7Gi/0XRX2oBYbI/hDBz1x+/GzB3VhT8ukY9rEdjxIitaAm7hRjRnU+Y9kc7+EzZ2pfb3R/4\n",
"lLdx+XVh+vLX7hxoxq+B1xIO9ucAf0v8DRbT/QjejcJm5ECzF7j8N/oe+Yw1us+EvFpEWIjuJd6v\n",
"edR8vrKcmQ9cScQzfB1hKXsUOy/U2gHc686yLL8K7VW8zlrTqG6GPo+2S9YVnn8ZIZ/vJ/r/K2La\n",
"8iFC1iyGSSGD7iX83c4nZgZOIqx849Ssc2cRLhjzmV06qVbIQWe3EL9FvwF+TixguBplAhg4ehaB\n",
"v5N0MHp1xWNab8zDSTT/WOeE4OOEWf1N7KyMFV/0W4iX5r+BRzNZ+VoKnEdSvuqTj7f7mRo8Y362\n",
"trdXVDxTG7lc7bEqaswLfmeEKf4t7JzyqZOZAhopZTvcJ1ZuKn9mnyJlrNF9JpzYIabjxtP+UkLu\n",
"7E/EG9xEvGObiamyBdAwvy7UQtucTwf8mDoke8Yo+KHluoK8GQVI8mkcODsrM3lhAMlVw2vJ1SuF\n",
"JvL2KsJPNi92WER3cOJ/N5/4X+5KTE3fhnzNBoKuOPCb2ddhSh8hd/cXlmnUzP6asCDtIFbwvNrd\n",
"N09/VVuoEKOilWnEtciM+wjH8OyP8GYmR6I+Bzg5KWtrUr8hRmJ7ES9OdmotKl+jxTa7+DKNQ03g\n",
"tJl8z7FiWRjBjcHEaPZY4JmpPvt75ZVg24m/7Q1MtkK2ww+tUSyz4j0fkeq3urMgK5KzbFPMMboo\n",
"wyrEAp0DCQvKrtTC3/wvoVg9lprSVUyRtJWwHG0FXgoTMqnaKSs9dEz2jMEk5QlgrDAr8HBgoRl/\n",
"Syg0H0i+rhd58j+r75fvvJKzSsitG6mtBD2F+Pt22nJm1BS/nBHhDwnL2TFmbCYsfI91LVoaSpqN\n",
"wH8n4ej+eeCKXJ1Kd/fLGl7Y+F4jwHeJALKbzeyLwMXufm7hnLaPkNNLu4oQnkWLV7bSZEUglzm5\n",
"7L3E9MBPiZHKSLIG1Zv3J0ZpaX9CKeuk4KunWxa4ZvpBTC+8hvjByJbDA4BPkFaVFlZz5rAVG9xZ\n",
"asY29xgsmLHdnflJwfoB8PTZ9K3OapbvvdF9Ik6b6AGDYhnrhgxrMD25hVAIpooh+BAhz3alZqH/\n",
"H+CL1OIpLqcWU3Esld0cKM6K6WRbtiAWXEbGy8jd4gxG3aEKtUHoKBEPLS+g6FYe4DyluZ1YePG1\n",
"VH8bQDtdUUTrdCu0xcMJLf3l6fOfwOfd/RcttHkfMVLb3cy2E6O926a/pHXq4ootZnLk6PnUrDIb\n",
"68r7CYH2ACEMHyRezOvq7t/Ix2I1cFJaDXR84QW/sNNWmH4RrEmwnUyY3pel6kdSC/XxYPrfjKVj\n",
"P0rlhakcL9zuylTeSi0zQlamS1NnNcsCdXGqyxazOz0C/wpRT0dlWEFmZUXMqSliDxADxU3UVkuu\n",
"SP3xdCxbWA4C3kotivx2aqsMVxPv0gZmXuHYF0wn25K8OSut4FwKLEnbkKxjzdy7gSzPnEWsUs1W\n",
"q27698xjci7NE1L5EIAZp1JLbK4pzQGl2Qj829z9G+7+SsKM+2vgMjMrrZG7+91EQNJbiRARG9z9\n",
"22XvMxOF5denuTMCfIZQwHKQ1OKz70jHb0rlVmov3f7pnKOojTAhhBjUTOfjhWPrCAFXJUapeXsd\n",
"c4gkAE9yZy/gpmTpOtedvdxZnv43WTnN4TTGUlmMZv2OVL6KCGx7F2Ehg1qGgj9PZZlQK/VTmtdQ\n",
"G6Dsk+o2p1LRtQXQORnWQGb9ilC+HqQWzX4hofztTVjBcszD3Qjr2W+IkDonpb7NS9cvIr7b21P9\n",
"2cBrh2yK/h3p73YSkTpuJH1athwlxWYl8Te8nvg/rCdCanyTcLb/c2opoLrBovTZm7DSLSGMJa8E\n",
"zrJI03SnGasbWPpEn9K0A7+ZLSIShb+MUEq+Bvybu5caEZrZY4GvA8cRU4BfBs53988Wzpn1dEXB\n",
"2bzRSsNHE/4WD6NmIctWkuuIHJUrC1NoVff4Utf5hO3DzqsnoTAS6/Y0Zb9ScPZv50qrvKrzTo9I\n",
"/dmq5YQfTzGpexkaLQLYaTpT/mbtZYCmKTsiwwoypSivdhAKQNGSD/AtwvK1GzH9uGvqy4K0neMn\n",
"5lWFt1KLVcawy6ROyd26hQIVahkDxoCnEKtXF9L91ZmZTdRWh/6OsJbdRnyv7iLZYD\n",
"/78TjtYXA+9292tbbRB4MvAjd//fdO+vEBHVP1s8ycxGC7tVd6/Ook2YPFWW87E9SFhinp7K9xIK\n",
"2iPMYkWLGXcTjqE5UGslTb9lJWxJKu+lsTl8tv0eFsZSWW3jPbN17O9T+YlC/dWEMpZ/lMrQaBHA\n",
"pOnMxIeAswurcqWclcDMKuzslD0IdEyGJfmRB3JrCasM1FZHLiYsYL8P3OrOwUkR+MN07E7i+55X\n",
"BC4hrCf7EMGs16d77zPkvkbVTt87DcozY8Tfeu+0v3/9RV2iuPpzOdGnHL4jZ1g4K1v8CQXtcOA1\n",
"UtDK0W751awDf04I2wh3972abtDsCEJoHU1o8WPAWnf/WOGcljTMKaxgD6fxMu+t1FKBHETEENuf\n",
"yQ77y4g4Wiu9ltC23hLWMJRF2b6L8sz0t7aULikpU7+hfD7SqajPmVlvPdvgztJZ3H9OMkCWsbbJ\n",
"sClk1jJq04rFVD85q0Yxjlbe34faD24ut6Xyp9TcKMYkn1qnCZmT/58HUMuGAjU/s/w71MnwPlOR\n",
"v0MPEEqZU1PQfkbN71EZAlpgIOOMmdk7CP+fHUSgu9e6+9bC8bZNUxb21xDxw35DTegtJ/wyHkc4\n",
"Q+5NLcn374iR5ijwCiIlEswcT0zTkn2GpWC1aXsz8Euay7fZDPnH71rgsDrlbIt7U0nWBYOjjEFn\n",
"ZFgD14pFxAAxW2a3UJuOhJh6fDw1J/7NaXsB8X1cTriULCF8K0eAG/O7IDpHXtFJLaH6UbS44KjD\n",
"FBW0zBbCOLEHsSBgmC2obWO28qspzdzMmhjhzXxOxt3PcvdD3P0wd39VUYh1ivSFupOICbYtVe9K\n",
"CKhdqcXAyj+e+xNxxN5ATGeuSs6hZ6Ty7HTPDen+1U4/g2iZMwvb3yOcb7cTK2dhdvlVs4A9DHZa\n",
"DLAgbedFADfNoh3RR3RShiW5chFh+cqBQO8gfjRzO0sI+QRwFXAGsQI8r8B8LiHbdqe2aGkDEWNx\n",
"NH0q7eqz2ImxVJ5M+ChnGZOtHzvqyl6Rf7cXFz5LCMve/sAr0oKAC/R96SzNmkmrZvZXZvb4+gNm\n",
"9gQzeye1VW39Ql7tSOFLdGvhePYh2534QubgiF8l/Cp+nuofTiS+/YJFZOfnpeuqqVxqxhozxtPx\n",
"0/O2VuDzSPC2AAAgAElEQVT1B3WK8pnpx+4P0irPe4CPE0JxSxubzcpZjg0E8JhUd2cb2xHDQxUm\n",
"5NX5adBXJVYgLwfeD3yOWHwE4f+6K7F68HRiqnIRIbe2EDJwASHnV6a6XvkyzSmSzKmmlbHLgecQ\n",
"CvO7iVmX+4nfm25PVTbDPGq+Z3sTPtUnAueadS4M1Vyn2ThjzwH+FPiYmR1KfJGMMGNeR/hP/EFH\n",
"etg6K2FievI1ZhOBR/cjRiefAU50Z8RqeSYvAT5COMdeTIxoziGc9EZzUMAcYNAmp9kYIwRnRdOU\n",
"/UuDeEJrU7mFEI4Laa8/R14MsL1QNxE2wz0ipsvXUBSCjlZgIsbVwcCxaaC3gphOuoua32KVmj9Y\n",
"JZVjaftCwp3iWCJfJdTcLUSHKb7POQ4a8ZuyB2GByimXsvLczy4NuxB9x4zLU93VmsJsH60kCs/O\n",
"ogB3ufv26c5vqVOzmHst+G4Vw1GME2b/1xJf+mJ+xOzz87tUnkk47K+yumTg+f6EondSavJ4albB\n",
"C4GlUsYGh8L3JZfriNWYbyKmiXanPb5l9UyEz0j92OLOQjMud+e4Nrc1EAySz9hMtOgzVmHn1VkV\n",
"agPBtYSPWF5AVAzbUvyO3ksobdeSlLCC/JJPa4+wyVH+c7aAtcATCdeZJT3tYDl2EN+zXxCDg9vm\n",
"umI2kA78MzELQVYMOZGFldE43dGDxEjkKkLjv5VQsvKqypwMfIxCVOMGCwPq92XhGGAK8dByovPX\n",
"E0pZMYVWO9mRVmBOSmpuxk3uPLbNbfU1c1EZK/xArwFeR+OUR3k6K095ryfcLP4lHT+RkFNvJPIq\n",
"VoEjiNywO4ippvXE9/gqd140m2cTs6ewWKMCExazZdRW/hd/q/qZbekzj1ocuzmpmHUrHdIgUGlQ\n",
"V/zhzJaw64C90vTkOmKa6jDCfL+AsIRALX3SicCJZjOn1AA58g8BY6k8M/1I5hyat7lzgBkbCGW/\n",
"XWEy5hWc/scBSz/ME/5lrrRMw0yOW3gi4be6kJ2/U/OorZR8GOHPs4BaSIwF1HxYT4GJNEBLCOvF\n",
"vURMsmM69RCiNFWYmL58RyGQeD72ZSKgL8TvUDFERiN6ESoDQofIekRepbvVjGcQ/tYv1W9icwyF\n",
"ZWyK+F/LgX9157TCFFAejeTI+vVlnpYcT8radFH8IaY+lQtsiClYLrLF7AZqQnIF4T+5Z6G8JdXn\n",
"DACzUda2Abski1mOmTa0gWXnqGVsLZPD5txLKFvXEJaG1YRLRJ7WGk3nvoVaOIJipP173Dk43Tuf\n",
"Cwx/1P1Bp2Al24+YlVlMWDRzINmHqCnYEIngryBmdFZTU8JHiNiZlxBZAR5HKGrdfLeycrieiOWZ\n",
"cwoPbf7Mnk9Tmtm+7t7W1WGzDJiY438dk0eCZmwhTPqvILT44pTTJmJFUnbezj+e21L546IPj3wu\n",
"5ib1vmWpLqdjyoraA+4szkFfC0FgnRBG+0zbyNTsAEj32ures1QrHWWuKGPTDO7uIBSwU4jQAvOI\n",
"H+R7iQGAETIrW0C2E+4WNwIXF5S1DYQCdzjxQ/5Aus9NREaKC4dVoR90pvArG6Vm+RxNn0rar1IL\n",
"Sp7PW0VNQSPtj6drHkn4xD6fWEhQTB7fKbZSW6m+hfgN/k7aH5opza5PU6YclfsD+6byFCJBadfx\n",
"yalDshUrl5vNJmK4GPDmVGaz/a6EYMtLePMP3H2p7gtpf6zjDyL6ngarMKGWjmkslXmV0Wgqf5TK\n",
"O4gRYqvKWHFFZt7OlrI551s26Ewht7J1/0BqlpBMduzeQsRKvJVIWr2RUOoOA442428IOXYvoZCt\n",
"J74v64gf5x8QP8rrOvVsYnYU5UwhJFO1MAAc90IaphxCo3heOraycE1mhPj/j6X98VS+kbCiQcwo\n",
"3U5Y1A5qxzMR38kFxKAy5+18JimAsRlHEG4ZFwyLYtYKzeamPI9YHr0HYSq9i1BYfkqYQPuBkVRW\n",
"U/kbYhQIseLx+8SX60xCMI0Rqx/PBt6V6iukkYf7hMm3nuoU9WLuMQaTFLQz0/7ZqcwW1VOIxSWP\n",
"p7aat5XFAPU5M68Bfi8fzCsxzbhATtoDx/npU0n7pxLyeSmhjN1C/HheSMivcVIcK7OJqUyo/eBW\n",
"KYTakUV/IKnCTgPAsQZ1FOvqFDTqlbKiMpc4lpqCVknlOPEd/AG1/Ki3E4r/Q2l/GSGTdmVyTsyp\n",
"mEfNqrukUHd0usfJSTHL/AdzKCVTs7kpFxI/KPOAL7n7Q2b2Bnf/hJmtdPe2jrRaXE15CZEc9xBi\n",
"qjHnZ8tz5dmXp7hK8unJVyz7iK3Jwi2ZfbUyUrQdM+5zZy8ztrmzS7KKvIXyTrj14THy/XI5sVJv\n",
"EEacc2WacvJ5Ez9+q4DjCOW6/rodRNq2N2X/RcK6NRFGZyr/sML9tbhINKTO9aJSOLSS+J6tSvvj\n",
"pCwOaX85NYvaewklbSnx29vKe+zUpjPnEdbfvYkA7AAf6efvcFd9xsxsMRH8dQuwxN0/0mrDM7TT\n",
"ijI2KSaYGfcRYSpg51yUOT1Fw1yU2bKh0aToBJZyZZqxtuDXmBW07URGgFaUM3xybswb3DnYCsFl\n",
"+5m5qIzFuawl/Ls2ERaD9cSP2jXAOwhrxQgwXufEDzGwPJHa6t6txDTQ7wjHacU+FLOiXqFvpOAn\n",
"6yzEispfAy+lFmC91Xf6FsLHbSNhJf5BuvcRwN/1m2LWEwd+M9uHiL/0K+B/3f17rXZgivu3w4E/\n",
"R9dfQ+NYUQ+m8mZiCe46wlz7BWpmfkXTF21nisUA2SqbFahs3dpBpL9p1d9sE7AoKWcXuPMi69PA\n",
"snNNGSvIrzwVmVfJnQccSkxDFmNRPZ5QzoshVe4nBp1XEYPLKmHJqFKbsqxQm4aq9tuPmBge6hYg\n",
"fJwYHCwhfm/3oZYH+t5UGs0raw8SPpOPBL5NKGZ9szKzp6spzezRwEXufnjLN2l837LKWB4VZktC\n",
"FlTbiVWRuxJR8g8ncoPlCPujhJb9fOIfvYSw+i0gTK67UwhjMQhTPWKwKQizrDhl5SyHZ5ntysy7\n",
"gD3daz4e/RIuYy4pY0l2vZKwxtdbP+8Hrk6fUwl/nKJlM8u3HM7i/KTIj/rkFZVL0/mnE3kRQcqY\n",
"6BJ1ihnEIGE54Xe2lsh5eTPxu7wr5VJCZcVsrDgl38vvdj+EtniWu393VjfZ+Z6zmabM5e1EXkmA\n",
"twL/RKwaeRkxFVkpfFnytJFn/5t0T01Tip5S7/dVCJ8xoZwlq9c1pOTkM7AD2FqnjE2kDivUdV1B\n",
"m0vK2ORzJ4UqGIVJ00FjJCs9oWBdSFi8Rqg5eI+m++wUFiHVT5JrQvSCrJTVTW2uA95DGEVuJBQz\n",
"iGnJBwkjy0x8jLT4pZeK2Wzl14z+KGb2BDObcsVkuxWxWTBet7/JfUKZuiaVt6d/0HgWWMkZtmKR\n",
"cHezGWNmE1MDQvSUwgqpbJX9dCpzKpzN6fjhwObCj+7HUpnDu2TmAbua4emzdYqmT5qiXnSGcZj4\n",
"f1cK2+PUwhFsSHJsLF1Trb9JQbF7o1nk6AXWJ5kmuSZ6hvtkq6w7Z6e644AXEv6RP02f24jQQDmZ\n",
"+nS8Bfgu8LxCXaV9Pe8OM1rGzGwX4sGeQPxhfuruP+top1qLwH8yMc1YXGKbk5gbEfiw3mdsK+H3\n",
"djRhxj+WlAYn3VerKUVfU1wpWbCebXRnj0KZrWc5GO0m4nv/xHSbvPIY4HqP+GWTrGXdeBfmomWs\n",
"ILsg4jauJ1IeGSFvFxD/mx2EvLqTmltGlmVFv7Gr072KU5Mb+mEaWohmKQwa3kO4VhxELLzL8UEh\n",
"vv/biQHpDmohYBbRg/RfXZ+mNLNjgCcRI+xfAlV339ZqB6Zoo6WHSv/ALxDTk39N+H3tRsxDFwXX\n",
"QkLzfli6tOg8+z1XjCYxwBRCs2S/s/Xu7F9UxhpNU6apzoYKGvCVTk/Zz0VlLM6lQihkp6aq7Lta\n",
"9J+pd9i/w51jiq4U2dqfzl8FE5Y1+YmJgSZ9t99FOO2/lpiy351QwnIaxC3U5NZCuuzv3fUI/O6+\n",
"lnC+w8wOAl6T4pDdBnzT3R+Y7vpOkvwlNuUVSHlkX3BszT8669wnYqVgKc5Yr/otRDvxWtDZPKg4\n",
"JZV51fNPp7huYiFOA8vYaNs7KjKVZNHM+fsoOOJXqK2qLCpeo/ncbLX0QrBPqwX4nDhPiEEl/bYX\n",
"A9YeSGE1ZX4fiqFfBuBXweucPf/MbM9gU3uvrVwziOA49z9i7PuVGvTlPUhLvYCPpdX\n",
"GRGrz15DTAOsSOUiatM1H0zXaxQp5hSNnPWTr9F1NM6h2JGR5hy2jOVk4TnVnBMj/vnAPcQsxHnA\n",
"XQVlbCIwNXWOy9TiklVQSAsxhNS7TaR36NHE6uIV1FKCdS0KQrcsY7e7+4WF/S3As5Nj/6/d/Rvu\n",
"/jtg1opYG9lS2M45u/ZIIS2qTE62enQqR7rWOyH6hCn8iXIy6Uk5FLvasSFmigHkJsJq+Y482k8j\n",
"/bzqrMhS2DkIZ4HxdF8hho4GA4t3FHy9RxnAwUezytjNAGb2fMKKtJYIunYpsYrhGx3pXZP45MS7\n",
"kyLxp+PVJNDyqsnjiZyUS4nltHnEP9LlrgvRl8jhu7N4g2Thhe1q3elL2TkA9bFJlgEcX1DIroOJ\n",
"6c5bEGIOUP/ODJoiBs0rYwbg7v9pZs8kHPfN3XeY2fkd610JCmbL8br61dRya+WR4lYi6ek6woxf\n",
"TKZb7UJ3hRg0qr3uwLCTFKpqoWqS1asgyzYQA8qsbN1TuK5asBAMnN+MEG2g2usOtEKzPmN3Elaw\n",
"HxIrEP/e3XekY69x90+VatTsCcSqx8xjgL9z939Kx3eae20wR1y/P0r4hZ1Mbd44L3PdDnySEGRj\n",
"RAyl1cQ0ZaNrQBH3hegqg+IzNpP8SudMPEsTsquSNou+XzlC/x7U0sXkmEs/J3zMxlL9CBGJfOKe\n",
"jdoRQnSOjgd9Tfwt8A+EMBgBrjCzn5jZJ4jEoKVw91+6+5HufiQRJuNB4IIZLqvMsJ9N/y9LKyOv\n",
"dmfEneXAJ5OQq6ZyXTGYpjvL0zVnpGtGpIgJIRrRgvyqTLdfDPQKE0rUae7sRaRvOwM41535wHtT\n",
"/KQxavJsrEEbAzlVI8RcpalpSnf/RNpcR0oxlFZUHg28bZZ9+APgJnf/TdkL651gzVgFLDXjPOAx\n",
"ZhNTlivMeCOwzIwTgH3MOAlYkuo3ARdR87UQQohmaFl+wc7JwpMMe7gZm4l4Yjl+0kIzXgzsmc5Z\n",
"BJxiNrFoapXVxuQD57wsxFyndJyxjLvfD3zXzO6fZR9eBnyu0YEGytbbiUBvOWfVxJQiteTJq9I1\n",
"exLCbFE6dgkxPXkj4W+Rc7yNFnwsKrN8FiHE3GI6+TWeNneSXUmhWpaO300Ees0xGq+msKKS8IFZ\n",
"lVaCj1Hzi30jNYuYVk4KMcA0O005Je7eMIBkM6RgsS8Avtz43pyWpw2JKcS93Nklme/PSPWr0ul/\n",
"CbwCWExkhodIJbKR8LtYSQi8EcKBP59TbK/a6rMIIeYWTcivmWTXC1P9CBHSIkfcPxq4yIy7gbcT\n",
"YXhelVZPvpCI1F8hYpKNpM89bX9AIUTXaNky1ib+CLjS3e+sP2Bmo4Xd6jS5QnP06j0I34n8WU04\n",
"7QM8r5g6JIW/OEEOrkL0DjOrMIAJfQtMKb+gKMNeWjH7csXdq3WnVKit/LoYWOjOykLk/VFisDlO\n",
"KFzVtL0uBXytD4kxOsvnEUI0SbvlV+nclO3EzL4AfMPdz62rb3o1ZWEV5anUclTdAjyC8AW7u1C3\n",
"lIhkvYf7hEVNCNEHDMpqysxU8isdm3E1ZUF2nUgt+v52YsbCqHPDqFe86vNSamApRO/oam5KM1sE\n",
"vIQYpeVr3d3fXbZhM1tMOL++rpnz64TZGuBEM5YRvmE5Cfg8wu/iIlLOqnR+lQiGeDIh+FYU/MMU\n",
"wkIIUYoy8msK2QUxSLyfmi/ZxCXUwllkquzMRJ0UMSEGm1KWMTP7JmF9upJQgABw9w+1tVPl8rpN\n",
"GiXWpRA5KZ12PHBZ2r4QWCqTvhD9xaBZxqajmWepn1osJmdvkKh9SnmmbAlC9J6uWsaAA9z9ua02\n",
"1iWqqVxHyt8GHFRXX+lqj4QQYmbGp9iGyfJshMnyTAgx4JRVxn5kZoe7+zUd6U1rVItlIZhrNdfV\n",
"pwXJ8XjkZyGE6CHVuv2x4nZRPk0nz4QQg0/Z0BbHAVea2a/M7Nr06aliViespooVVm10DbKQCSF6\n",
"xHTJjdP2qikurU5RL4QYUMpaxv6oI71oA4VRZIWplS8hhOgLmrDMjzSqlDwTYvgopYy5+3iH+tEy\n",
"0ylhjc6ltqISaimUQKsqhRDdpZKmHKu5wowLgCPT7opCFH/JJyGGmNJBX81sJTFd6cDl7n5123tV\n",
"jooZJwOnJsVqOgWrkvZPAwVKFEL0nAqTB5EH1B1fRMRLFEIMMaV8xszsbUTQ1H2JAIXnmdlbO9Gx\n",
"5vrDGiLS/olEqiOAzYQSNqKRpBCinzBjjRnjyeJ1OrA67a9Jp1xcSKN0GXCOZJkQw0/ZOGPXAse6\n",
"+wNpfzHwE3c/rK2dmiFeR30CcSJS9T7EaqRD6+LzNDoX6gLDCiF6y1yJM1aQSUuJQWQx8Oue1GTU\n",
"Q8AXZb0Xov+ZrfxqRRk7xt0fSvu7AWu7rYzFORMpRcaIZOCvBW6nTuEqjig1LSlE/zJXlLE4ToXa\n",
"au5qg2whxRRJDeWZEKJ/6HbQ108DV5jZV4h0HScB/9Zq47NkVYoXdgJwDpEZYIyUYLdRLrhud1AI\n",
"IepJitgqavJqVaobAVYSPmRjqaxoACnE8FN2NeU/mtllwNNS1Sp3v6r93WqKEUJw7UEItP1Tmeur\n",
"hXMrab9YJ4QQvaACjCTLPsC7CLk1QkxbVtJ5G7reMyFETyibKHwe8ERgqbu/28webWbHuPvaznSv\n",
"vv0JX4ucIPzpRILwo4gE4ddRZxUrIuuYEKJX1PuKmbEtHZpPTEUuBX5KQYZNEcRaCDFklI3A/8/A\n",
"7wMvT/sbU10/MEmxrF+1lLcLq5aEEKIrJLnzSuCR1FZ+A+wAtqTtJcDjgQslp4SYW5RVxp7i7m8m\n",
"xb1x97uBBW3v1RS4c1pa5r0XcJk7u6RyL+ALybm1UnfuCHBG3pYDrBCi2yR5tFeSWWeQ5Jc7C4H3\n",
"ZzlF+OGeXZBTlZ50WAjRVco68G8xs/l5x8z2JUZ2vSBbuZ6crF8rkkl/qRn7SOkSQvQx44XtapJl\n",
"p8JOgavvADnwCzHslLWMfRS4ANjPzN4H/BB4f9t71RxjSeE6ETib8LkYJ0z9h5pRNWN1Orfakx4K\n",
"IcTOVInVkkD4siZZdlKykH2TkGXjwNFJlhXlmRBiyCi7mvI8M7sSeHaqOsndr29/t5rpyyQFax0h\n",
"uKrEiqRqoX4nx32FuhBC9JJG8qeuLm+PUCfPhBDDR+nclO5+A3BDB/rSKhV3RlNA12pKvDs60zXI\n",
"WiaE6A0Vppc/t2cZ1qQ8E0IMOE0pY2a2kUgM3gh3973a16XWKIwqq9OcJoQQ/c54Ybvaoz4IIbpI\n",
"qXRI3aLF3JQwTb7J6a6Rs78QvWeY0yFNI3+ucudFkk9CDDbdzk15LvA2d9+Q9vcGPuTu/6fVDkzR\n",
"TtMPlfNNFnJVzph/Ujkqheg/hlkZm3ysJn8aySIzRinkqxRC9D+zlV9lV1MekRUxAHe/h4h+30tG\n",
"UlmZ6URFsxZC9CNmVOrkU2WKU4UQQ0hZZczMbFlhZxmRyqPsTZaa2flmdoOZXW9mx5a9x+T7sQZY\n",
"3US0/Uoqq7NpTwgxt5mlDDuiPjsIcCFwVjpebW9vhRD9TtnVlB8CfmxmXwIMeCnw9y20+xHgYnc/\n",
"2cx2ARa3cI+shJ1EJNRdksp7mcHPQuZ/IcQsaVmGJR+xSW4VaWryeUkxg8mBX+U3JsSQU9qB38wO\n",
"AZ6Zdr9bNs6YmS0BrnL3x0xzTisO/MuBfwXuKvpgyDFWiMFgUHzG2iTDRoF9gNcRswt5hmE78CBw\n",
"ozvHtK3TQoiO0lWfMTM7HTgZeFj6nGxm/7dkm78H3Glmnzazn5vZJ81s9zI3SErUqmLeSUJ4nUad\n",
"iV85KoUQbWbWMixxPvDcQr7KM1K+yr2Ai+XjKsTcoazP2APAxvTZDjyPmgN9s+xCOP3/s7sfle75\n",
"rpL3AKjU+YodkcqxBr5iQgjRLlqSYWasKfqKEX5iU8mrKnLiF2LOUDYd0geL+2b2D8ClJdv8LfBb\n",
"d/9p2j+fBoLMzEYLu1V3r+7cH04zi7hiZlTdZxReO91DCNEbzKzCYCocrcqw0yAs8mmakkKIi0rx\n",
"2iTTJtUJIfqHdsuvWQV9Tasp17r7gSWv+z7wWnf/VRJYu7n7OwvHG869NvD/up9wnH0A2BP5gwkx\n",
"sAyKzxi0RYYtJRYdTQpYTbiByMdViAGj20Ffry3szgP2A97t7h8t1ajZEYSz/ULgJuDV7n5v4fiM\n",
"D1VchZTKMfeJ1UdN9kMJw4XoFwZMGZuVDEtWr0rdYqPROkvZpONCiP5ltvKrbGiLFxS2twHr3X1r\n",
"2Ubd/Wrg6LLXzcB4cadJRauCpi6FECWZrQwrTkNOIasqLXdOCDFwDHJuypOBTdRM+YuIvJQTPhmN\n",
"RpV5xJl2VwFjaVvpR4ToIYNkGZuJJq37FUKOnUrESFxBxEmEmHn4CjHIlGwSos/pqmXMzBYBLyFW\n",
"UOZr3d3f3WoHWqHOcb9snsmV1JSxFYXtDchKJoToEkl+QYqNaMYlxKAS4HhCzo4g2STE0FN2mvKr\n",
"hGC4krBK9ZIKdQKq3sG/UQRrd84Gzk7nK2G4EKLr1DvyJ1m1FDgvDTYlm4SYQ5RVxg5w9+d2pCet\n",
"U80bSeGadppSCCF6TZZVxRAXWlAkxNylrDL2IzM73N2v6UhvZmAqy5dZy8u+q23qmhBCNEWDED33\n",
"Jll2ETWZVN3pQiHE0FI2tMUNwIHAzcDmVO3ufnhbOzWNI1x9gt2p76FRphCDxFxw4K+XS8kyJgd9\n",
"IQacboe2+KNWG2ojFZoYNUq4CSH6kAo758+tNjpRCDF3KJsOabxD/WiFaq87IIQQs6Ta6w4IIXrP\n",
"QMQZa+BjMSmFiEaWQgw+wzpNOZ38Kvq6yrVCiMFltvJrXomGzMwe1WpDsyEJrFXujABnuDOSPqeh\n",
"SNVCiD5mBvlVpNL1zgkh+oKmlbHENzrSi+ao9LBtIYSYDZVed0AI0b80rYx5zGdeaWbHdLA/zVA1\n",
"Y40Z42aMA6fn7TQdIIQQ/Uq1uCNZJoSA8qEtfkmEtrgFeCBVdyy0RTO+YgruKsRwMGw+Y+AfY2f5\n",
"NSmH7uRrJMuEGFS6HdoiR993oONCs1FE/WKcMRqsRJITrBCiH6iXX4S8qmSFS7JKCJEp6zN2K3Ac\n",
"8KoU5mIHsF+7OzUDlbr96gzHhRCiH6jMsF/tSi+EEH1H2WnKcwgF7FnufpCZLQMudfcnt7VTDcx9\n",
"ZlSAk4FTiWTlxWnLq4Cr0/YqYCxtK7K1EAPCsE1T1oW2OJmYolwC3JtOmwf8IzACjElWCTG4dHua\n",
"8inufqSZXQXg7neb2YJWG2+WOt+xJYQytpnkOwasBE5Kx1dQG3FuQKNNIUSPaCC7NhFK2f8CjyBk\n",
"1QiwjlicpKlLIeYgZacpt5jZ/LxjZvsSlrKO4s5pOTYPcFkqf5LjjLlztjsVdypEHJ9K+pzd6b4J\n",
"IcRUZNkFnAOcAXzAnUXuHECSVYRVLMuqSk86KoToKWUtYx8FLgD2M7P3Eab3v217r+pIU5QV4Fjg\n",
"6WbcDuyfyqVmHAv8BFnBhBB9QkFuQbhPjAPjqX4lsCptH2/GqnTeXlab6JCbhRBzhLK5Kc8zsyuB\n",
"ZxGrKf/Y3W/oSM8ms5IQakuB+cBCYkXnQmBXYHk6rmlJIUS/kOUWhPvEBmJK8mTCvaJKKGjF0EB7\n",
"IzcLIeYcZR34dwPeDDydUIYuBz7u7pva2qlpHOHMqLpTKYS6UGweIYaAYXXgj/2anKqXWdMdE0IM\n",
"Bt124P8McB/wT4Rl7BXAvwMvbbUDs6DagzaFEKLdVHvdASFEbymrjB3i7k8s7H/XzK5vpWEzGycU\n",
"u+3AVnefMs1SfST+lDoEs4nVlEII0TXKyK/EEVluETJsVdq+qC4af7WN3RRCDAhlpynPAz7m7j9O\n",
"+8cCb3H3PyvdsNnNwJPc/e4Gx6abphxznxBkQoghYZCmKaeTX+m4ZJgQc4jZyq+yoS2eDPzQzG5J\n",
"I8MfAU82s2vN7JoW2m+l4yMwsVJJCCF6RauCd2SnG0meCTGnKTtNeUIb23bg22a2HfiEu3+yyevG\n",
"U1lBJn0hRG9oVX5BTYYVqSB5JsScpWxoi/E2tv00d/+fFDj2W2Z2o7tf3ujEBj5jFSK+2D51/hZC\n",
"CNENmpZfMKUMg519xoQQc5BSPmMd64TZ6cBGd/9Q2nciWnWm6u7VJNAa5aaUQBNiwDCzCpMjzp8+\n",
"KD5jRerlV6prKMPi2ERYnkkKGpJnQgwM7ZZfPVHGzGx3YL67329mi4FLgTPc/dJ0vGGicHeqzcYX\n",
"U443IQaLQXHgn0l+pXNmlGFT1QkhBo9uO/C3i/2By81sHXAFcFFRkE1BJZXVJtuozHiGEEKUpxX5\n",
"BY1lWGWns4QQc45SPmNm9lbg3939ntk06u43E6lCWrh2QpBVpzlNCCE6wmzkV1zfUHY1qhNCzBHK\n",
"xhn7e+AU4OfAvwHf9A7Mc2ZzX1mfCvlgCDG4DMo0ZTPMIMOWpf27kZwSYiiYrfwq7TNmZvOA5wCr\n",
"iLhjXwI+5e43tdqJBm008rco5VMhHwwhBothVMYm1+0skySnhBgOuu4z5u47gNuB9UQqkL2B883s\n",
"H1rtxGxQsEQhxCAhmSWEqKeUMmZmbzOzK4GzgB8Ch7r7m4AnAS/uQP+KVKeor5Q8XwghekE1lZUG\n",
"dUKIOUzZCPzLgBe7+y3FSnffYWYvaF+3dqZsmAqFtRBC9BONZJLklBACyjvw/wWRBgQiL5sD9wJX\n",
"uj6u7aAAABrUSURBVPu6tnVqhrlXOeoLMXwMs8+YZJYQw01XHfjN7HOE0/7XCWXs+cC1hHA5390/\n",
"0GpH6tpp+qHkACvEcDDMytjkY5JZQgwb3XbgfxRwlLv/hbu/nfAV2w84nlhd2XHk/CqEGHQkx4QQ\n",
"RcoqY/sCWwr7W4H93f1BYFPbejU9lbr9apfaFUKIdlBFkfeFEAXKKmOfBa4ws9PNbBT4EfC5lJ/t\n",
"+nZ3bjryyFIOsEKIQUAySwgxFU37jJmZEdOU+wNPI5z3f+juP2t7p5pzfl0KnCfnVyGGg2H3GTNj\n",
"LeHWAXLiF2Ko6JoDf1LGrnX3Q1ttrFmacX6VE6wQw8UcUMYmZJbklxDDRbdXU54LfMzd17baYJPt\n",
"NHyoZCE7FdiARpZCDBXDqIxNE9LiDneO6U3vhBDtptvK2C+BAwmB8kCqdnc/vNUOTNHOdJaxijvV\n",
"+pFlrm9nP4QQ3WMYlbHJdRNW/QrId0yIYWK28qtsBP7nptKJOGNdZxoBVkErK4UQ/U9FU5RCiCJl\n",
"V1PeChwHvMrdx4Ed1BxSu021R+0KIUQrVHvdASFEf1J2mvIcQgF7lrsfZGbLgEvd/clt7VST5j6l\n",
"GBFieBjmaUrJKiGGm277jF3l7kfmMtVd7e5HtNqBKdop/VBanSTEYDPMytjkY5JVQgwb3U6HtMXM\n",
"5hca35ewlAkhhBBCiBYoq4x9FLgA2M/M3gf8EHh/23s1DdPkdKt2sRtCCNEqVVB+SiFEjVLKmLuf\n",
"B7yTUMB+B/yxu3+pEx2rpyC4Ko2Oa5m4EKLfqQvBU+lhV4QQfUTZ0Ba4+w3ADR3oy0xUkPVLCDHY\n",
"VJAcE0LUUdaBfxHwEmCEmiLn7v7u0g2H79nPgN+6+wvqjjVaiaTI+0IMMYPmwF9GhtXqlZ9SiGGk\n",
"20Ffv0ooRFcCm1ptNPE24Hpgz6lOqFsOviS1fS8SXEKI3jOjDINpw1r8VCmRhBBQ3oH/AHc/xd3P\n",
"cvcP5U/ZRs3skcDzgH9lmkj+7pzmzog7I8AZqTxbipgQopc0K8OgsRxL2xd3vKNCiIGgrDL2IzNr\n",
"Rx7KDwN/RWthMaptaF8IIWbDbGRYptqergghBp2yythxwJVm9iszuzZ9rilzAzM7EbjD3a+iXH7L\n",
"DaBVk0KI3jILGQZQVaJwIUQ9ZX3G/iiVs0kU/lTghWb2PGARsJeZfcbdX1k8ycxGC7tV8KUttieE\n",
"6EPMrMJghndoSYa5e9WdqhmjyComxEDTbvlVdjXlPOBPgd9z93eb2aOB5e6+tqXGzY4H/rKZlUhK\n",
"ISLEcDNoqymhnAyrHZMsE2LY6HY6pH8Gfh94RdrfmOpmw5TaoBlrzBg3Yxw4PW+n1UlCCNEPzDii\n",
"lSwTQkzHwCQK12hSiOFmEC1jUyHLmBBzCyUKF0IIIYQYYAYpUXi1S+0IIUQnqfa6A0KI/qLUNCWA\n",
"mR0MPDvtfiflqmxvp4ZoukII0RzD9N4P07MIIWZmtu98aWWsG0iQCTH3GKb3fpieRQgxM932Get7\n",
"ckBFIYToVySnhBBFhk4ZYzCDSAoh5haVXndACNE/lIrAb2Z/weTo+w7cC1zp7uva3LcS/aJCTbit\n",
"spqhsKqUI0KIfkBySggxFWUtY08C3gg8AjgAeAORIumTZvbONvetKRqY+1f0oh9CCNEEI6mUnBJC\n",
"TFBWGXsUcJS7/4W7v51QzvYDjgdWtblvzVKp27+lF50QQogmGEml5JQQYoKyicL3BbYU9rcC+7v7\n",
"g2a2qX3dKkcy8VcBzEDRrYUQ/UZKEl51Z1RySghRpKwy9lngCjO7kPAbewHwOTNbDFzf7s5NhRmr\n",
"gZPS7vGFqcoLUUBFIUQfMYW8WmrGBnfO7l3PhBD9QillzN3fY2aXAE8jnPff4O4/S4f/tN2dm4Z1\n",
"wNK0PUJNAVsnR1ghRJ8xpbzqRWeEEP3HwAd9VdJdIYaDYQqUOtWzSF4JMZzMVn6VDW2xCHgJMbrL\n",
"17q7v7vVDjTfNpUprF6N6oQQoh+pTiPLhBBzlLKrKb8KvJBw3N+YPg+0u1NTUGlUKaEmhBgUkryq\n",
"9LgbQog+o6wD/wHu/tyO9EQIIYQQYg5S1jL2IzM7vCM9aYAZa8wYN2McOD1vm7GmW30QQojZIlkm\n",
"hJiOUg78ZnYDcCBwM7A5Vbu7t1VBa+QIJ8dXIYabueDAH8cky4QYNrrqwA+c0GpDQgghhBBiZ5pS\n",
"xszsh+7+NOAXRHyxIg7s1e6ONaDahTaEEKLTVHvdASFEfzHwccaEEMPBML33w/QsQoiZme07X9aB\n",
"v28ppEQSQoi+RbJKCFFPs9OUf1HYdSIvZd7G3f+xTKMpeOxlwK7AQuCr7v7XZe7RgAoy/wshOkwb\n",
"5FcFySohRIFmLWN7AnsATwLeBDwCOAB4I3BU2UbdfRPwTHdfCRwOPNPMnj7dNRpNCiH6gVbkVz2S\n",
"Z0KIIk1Zxtx9FMDMLgeOcvf70/7pwMWtNOzuD6bNhcB84O4ZLqlQN5pMMXpOTLsrzFiVti9y57RW\n",
"+iWEEDNRVn7VyypgtRkbkKwSQlDeZ2w/IhVSZmuqK42ZzTOzdcB64Hvufn3Ze7hzmjsj7owAZ+Rt\n",
"CTchRCcpK7/qZRVwtmSVECJTNs7YZ4C1ZvYVwm/sJODcVhp29x3ASjNbAnzTzCruXi2eI8uXEKIf\n",
"aUZ+1VOQZ0uBJZJnQohM6dAWZvYkIPtHfN/dr5p1J8z+DnjI3T+Y9p0YPWaq4JXpolabUVHScCEG\n",
"BzOrMDlp9umDGA6iXn6lup1kWFbWkr/YtPJMCNHftFt+lU2HtAh4CTBCzarm7v7uUo2a7QNsc/cN\n",
"ZrYb8E3gDHf/TjqudEhCzDEGJTbXTPIrnTPts0ieCTFcdDsd0leBDcCVwKZWGwUeDpxrZvMIv7V/\n",
"LwqyIgWLV3UW7QkhRLtoRX7V06hOCDFHKWsZu87dD+1gf3I7ExqmRpBCzA0GxTLWDPlZJL+EmBt0\n",
"OwL/j8zs8FYbE0IIIYQQkylrGbsBOBC4Gdicqt3d26qghfOr35J2VwB5W6uOhBhShs0ylmSY5JcQ\n",
"c4DZyq+yythIo3p3H2+1A1O0o2lKIeYYw6aMaZpSiLlDVx342610CSGEEELMdZryGTOzH6Zyo5nd\n",
"X/e5r7Nd1KojIcTAUu11B4QQ/U/poK/dYJimK4QQzTFM7/0wPYsQYma6vZqy2PA+ZtY1YZOiVgsh\n",
"xMAguSWEaIZmpyl/38yqZvYVMzvKzK4DrgPuMLM/6mwXJ6h0qR0hhGgXlV53QAjR/zTrwL8G+Gtg\n",
"CfBd4AR3/4mZHQR8AfhGh/onhBBCCDHUNOUzZmbr3H1l2r7B3Q8uHLvK3Y9sa6dqy8LXACemasXr\n",
"EWKIGSY/K8UZE2Ju0a3QFkWNbTY5KUuRBNdpoHhjQojBwp0RyS0hRDM0q4wdbmb3p+3dCtsAu7W5\n",
"T0IIIYQQc4amlDF3n9/pjjRBtdcdEEKIklR73QEhRP+jOGNCiL5gmN77YXoWIcTM9CzOmBBCCCGE\n",
"mD1SxoQQQggheoiUMSGEEEKIHtKUA7+ZbWRyeIsi7u57ta9LQgghhBBzh2ZXU+7R6Y4IIYQQQsxF\n",
"mo0zNoGZ7Q08DliU69z9++3slBBCCCHEXKGUMmZmrwPeCjwKuAo4Fvgx8Kz2d00IIYQQYvgp68D/\n",
"NuAYYNzdnwkcCdzb9l4JIYQQQswRyipjm9z9IQAzW+TuNwJPKNuomT3KzL5nZr8ws+vM7K1l7yGE\n",
"EL1A8ksI0W7K+oz9JvmMXQh8y8zuAcZbaHcr8Ofuvs7M9gCuNLNvufsNLdxLCCG6ieSXEKKtlFLG\n",
"3P1FaXPUzKrAXsAlZRt199uB29P2RjO7AXgEIGEmhOhrJL+EEO2m1DSlmX0gb7t71d2/BrxnNh0w\n",
"sxHC9+yK2dxHCCG6jeSXEKIdlPUZe06Duue12ngy8Z8PvM3dN7Z6HyGE6DaSX0KIdtFsBP43AW8G\n",
"Hmtm1xYO7Qn8sJWGzWwB8B/Aee5+YYPjo4XdqrtXW2lHCNGfmFkFqPS4Gy0xk/xK54wWdiXDhBgi\n",
"2i2/7P+1d//BcpX1HcffH0KQRNogU5AqgRusaNLRBkR+KJFVKQTbEZzWSlCLMlOmU+0vpkKhf5DS\n",
"GaHtdHSGTtqOgggKVZDQaDsqiLe1UgmaxASSII75ARUhI1INFgPm2z/Os3M3t3tz9+w9d59n935e\n",
"M3f2nLPn3vPZc/c8++xznvOciKnucnTARhcBLwGuB67seOonEfF07Y1KAj4J/DAi/rTL8xERqvt3\n",
"zWx4DctxP135ldYZitdiZs2Y6THfU2WsY2OHA78FjDHRqhYRcW2tjUpnAf8BbGbinpdXRcQX0/Mu\n",
"yMzmmGE57qcrv9I6Q/FazKwZMz3m6w5t8S/AM8C3gOf63WhE/Cf1+6uZmWXn8svMmla3MvbyiDhv\n",
"VpKYmZmZzUF1v93dL+m1s5LEzMzMbA6q22dsG/ArwA7gZ2lxRESjFTT3tzCbe0bpuB+l12Jm0xt0\n",
"n7Hz02MALmjMzMzMZqjuacrdwArgkojYCewHjmk6lJmZmdlcUbcytgY4E7g4ze9Ny8zMzMysD3VP\n",
"U54eESdL2ggQEU+nkajNzMzMrA91W8b2SZrXnpF0NNWpSjMzMzPrQ93K2A3AWuAYSR+mui/ldY2n\n",
"MjMzM5sjag1tASBpKfDWNHtfRGxtPJQvCzebc0bpuB+l12Jm0xvovSkHxQWZ2dwzSsf9KL0WM5ve\n",
"TI/5WqcpJb1e0lpJGyVtST+b+914b9ukNZt/38xsNrkMM7Pp1L2a8tPAnwEPMbiO+y1gfEDbMjNr\n",
"WguXYWZ2EHUrY3siYt2sJJna2IC3Z2bWKIlWhCtkZtZd3XtTngu8C7gX2JcWR0Tc1WgoKSD+Pc2e\n",
"DbSn747go01uy8zKMEr9rLqUYbuAnbgMMxtJg7435SXAq9LvdZ6mbLQyloynx+Ud05tmYTtmZrNh\n",
"PD2OUVXExnEZZmZd1K2MnQq8OgZzCWYrPS7qmH4G970ws+HwDHBhmj47PbYkt46Z2YHqVsbuB5YB\n",
"D89ClsnG0+OrccuYmQ2fTcCRVC1jLVyOmdkU6vYZ2w68AtgB/Cwtjoh4baOhOs69SqyOYHWTf9/M\n",
"yjNqfcY6X4vLMbPRNug+Yyv73dAMjHfO+KokMxsmaZyx8cwxzKxgxY/A3zFgYvvxfcDNaXrcFTOz\n",
"0TBqLWMQf8nEKcqb01Mus8xG0EBuhyTp6xHxRkl7gcm/EBHxi/0GmGJ7U56mlIgIRqLANrMJo1YZ\n",
"iwhJrAaucZllNtoGcpoyVcQELIuI3f1urE3STcBvAE9FxGumX58WEy1jpAIO/C3TzDLopQxL5VSr\n",
"YxpcZplZFz2fpkyVsc29VJ56+FsrgL3ALd3+Xmri35VmT6AaMBHgC8AH/C3TbPQMU8tYjTLshLRo\n",
"F/CFCD44wJhmNiAD68Cf2tw3SDotItb3u8H0t74maezg61S3QUrfKMeZaBnb5W+ZZpZTL2UYVT+x\n",
"FlW/sZvBFyCZWXd1r6Y8A3iPpF3As2lZ40NbTDKWCq9xAMkVMDMrXwSr218mIxh3RczMplK3MnZe\n",
"egzIc6rQhZmZDZHOL48tPMSFmXVRtzK2G3g3sCQirpV0PHAs1X3XGiX9zng1deJy6cSb4bKd+LSk\n",
"2ciQ1KLjwpxRI2k1vG5MOnE1nLMTLmtp4iusyzKzIdZ0+VW3MraG6gbhbwGuperAuobqnpUN++x4\n",
"mjibWajsmVleETFOR0uRpGuyhZkFEbF60pXgJ0y9tpkNk6bLr0Nqrn96RPwB8L8pzNPA/LoblXQ7\n",
"1X0uT5L0mKT3H2T1XQd5zsxs4GqWYW0uy8ysq7otY/skzWvPSDqaqqWslohYNf061RWT0sS0mVkJ\n",
"einDqvUOuPjIZZmZdVW3ZewGYC1wjKQPA18Hrms81YHGZ/nvm5kNwnjuAGZWptr3ppS0lKrPmICv\n",
"RMS2xkMN0eCPZtaMUTruR+m1mNn0Bjboa9rYAuBtwFlUw1vMl7QjIp7rN4CZmZnZXFarZUzSHcCP\n",
"gU9RtYxdDCyKiHc2GsrfKs3mnFE67kfptZjZ9AbaMgb8akQs65i/T9LWfjduZmZmNtfV7cC/QdKZ\n",
"7RlJZwDfajaSmZmZ2dxR9zTlduAk4DGqPmPHA48AL9DgPSrdxG8294zScT9Kr8XMpjfo05QruyzL\n",
"dp9KMzMzs2FXtzK2MCIO6CMmqZVuC2BmZmZmNdXtM/ZZSVeqslDSDcD1sxHMzMzMbC6ofW9KYDHw\n",
"X8B64AngDU2HMjMzM5sr6lbGXqC6SfgC4HDgexFR+96UZmZmZlapWxlbDzwHnAqsAC5OA8GamZmZ\n",
"WR/qDm3x+oh4cNKy90bErY2G8mXhZnPOKB33o/RazGx6Mz3me2oZk3QFQEQ8KGnyrY+WdfkVMzMz\n",
"M+tBr6cpV3VMXz3puW5jj5mZmZlZD+r2GTMzMzOzBrkyZmZmZpZRTx34Jf0c+GmaXUA1vEXbgoio\n",
"O5L/dNtz51ezOWaUjvtRei1mNr2B3JsyIub1uwEzMzMzm5pPU5qZmZll5MqYmZmZWUZZKmOSVkra\n",
"LulRSVfmyGBm1i+XYWbWpIFXxiTNA/6eanyyZcAqSUsHnaMpklq5M/TCOZs3LFmHJeewGKUybFje\n",
"G8OSE4Ynq3OWJUfL2GnAdyNiZ0Q8D/wzcMFUK0u0BhWsT63cAXrUyh2gR63cAWpo5Q7Qo1buACOm\n",
"pzJsCMouGJ73Rit3gBpauQP0qJU7QI9auQMMQo7K2MuBxzrmH0/LptKa1TRmZvX0Woa1BpLGzIZe\n",
"jspY73cmNzMrj8swM2tUT4O+NrpB6QxgdUSsTPNXAfsj4q871nFhZzYHDcNAqS7DzKybmZRfOSpj\n",
"hwKPAG8Fvg+sB1ZFxLaBBjEz64PLMDNrWqO3MepFRLwg6YPAl4B5wI0uxMxsWLgMM7OmDbxlzMzM\n",
"zMwmFDcCf6mDKUpaLOmrkh6W9JCkP0rLj5J0j6TvSPqypCNzZ4VqLCRJGyV9Ps2XmvNISXdK2iZp\n",
"q6TTS8wq6ar0v98i6TZJLyohp6SbJD0paUvHsilzpdfxaDrGzi0g69+m//23Jd0laVEJWftVavnV\n",
"JmmnpM2pbFiflvl9PLOcqyU9nvbpRknnF5Cz9udVjqwHyVniPj1c0gOSNqXPquvS8mb2aUQU80PV\n",
"5P9dYAyYD2wClubOlbIdCyxP00dQ9RlZCvwNcEVafiVwfe6sKcvlwKeBdWm+1JyfBC5N04cCi0rL\n",
"mt6P3wNelOY/A1xSQk5gBXAysKVjWddcVAOUbkrH1lg61g7JnPXX2xmA60vJ2ufrK7b86si4Azhq\n",
"0jK/j2eW8xrg8i7r5sxZ6/MqV9aD5Cxun6btL0yPhwLfAM5qap+W1jJWa0DYQYqIH0TEpjS9F9hG\n",
"NbbQ26kqFKTHC/MknCDpOOBtwMeB9tUdJeZcBKyIiJug6osTEf9DeVl/DDwPLFTVeXshVcft7Dkj\n",
"4mvAjyYtnirXBcDtEfF8ROykKhxOG0RO6J41Iu6JiP1p9gHguBKy9qnY8muSyVd8+X08s5zw//cp\n",
"5M1Z9/MqS9aD5ITC9ilARPw0TR5G9eXrRzS0T0urjNUdEDYLSWNU344eAF4aEU+mp54EXpopVqeP\n",
"AB8C9ncsKzHnEmCPpE9I2iDpY5JeTGFZI+Jp4O+A3VSVsGci4h4Ky9lhqlwvozqm2ko7vi4F/i1N\n",
"l561m2EovwK4V9I3Jf1eWub38cz9YTrVfmPHaaoicvb4eZU9a0fOb6RFxe1TSYdI2kS1774aEQ/T\n",
"0D4trTJW/NUEko4APgf8cUT8pPO5qNoms74GSb8JPBURG+n+zaKInMmhwCnAmog4BXgW+PPOFUrI\n",
"KukVwJ9QNTW/DDhC0ns61ykhZzc95Cois6S/APZFxG0HWa2IrAdRej6AN0bEycD5wAckreh80u/j\n",
"vvwD1RfL5cATVF/cpjLQnDP8vBpY1pTzTqqceyl0n0bE/ohYTtWC/yZJb570fN/7tLTK2H8Dizvm\n",
"F3NgzTIrSfOp3ti3RsTdafGTko5Nz/8y8FSufMkbgLdL2gHcDrxF0q2UlxOq/+3jEfFgmr+TqnL2\n",
"g8KyngrcHxE/jIgXgLuAMykvZ9tU/+vJx9dxaVlWkt5HdVr93R2Li8w6jaLLL4CIeCI97gHWUp02\n",
"KbFsgCF5H0fEU5FQdQ1pn4rKmrPm51W2rB05P9XOWeo+bUvdaf4VeB0N7dPSKmPfBF4paUzSYcC7\n",
"gHWZMwEgScCNwNaI+GjHU+uoOnOTHu+e/LuDFBFXR8TiiFgCXATcFxHvpbCcUPUXAB6TdFJadA7w\n",
"MPB5ysq6HThD0oL0PjgH2Ep5Odum+l+vAy6SdJikJcArqQYszUbSSqpT6hdExHMdTxWXtQfFll8A\n",
"khZK+oU0/WLgXGALBZYNyVC8j9MHcNs7qPYpZMzZx+dVlqxT5Sx0n/5S+3SppAVUFx9tpKl92utV\n",
"BIP6oWo+f4Sqs9tVufN05DqLqg/WpvQP2AisBI4C7gW+A3wZODJ31o7MZzNxNWWROYFfAx4Evk3V\n",
"4rSoxKzAFVQVxS1UnTTnl5CTqvXz+8A+qv5K7z9YLuDqdGxtB87LnPVS4FFgV8cxtaaErDN4jUWW\n",
"XynbklR+bQIeaufz+3jG7+FbgM2pDLubqg9R7py1P69yZJ0i5/mF7tPXABtS1s3Ah9LyRvapB301\n",
"MzMzy6i005RmZmZmc4orY2ZmZmYZuTJmZmZmlpErY2ZmZmYZuTJmZmZmlpErY2ZmZmYZuTJm2Ul6\n",
"h6SNk35+Lum83NnMzHoh6UJJ+yW9KncWGz4eZ8yKI+kyYFVEvHnalc3MCiDpM8ACYENErM4cx4aM\n",
"K2NWlHRrpK8AZ0ZEUff1MzPrJt3o+iHgTcCXImJp5kg2ZHya0oqRbhh7G3C5K2JmNkQuAL4YEbuB\n",
"PZJOyR3IhosrY1aSvwK2RMQduYOYmdWwCmiXW3ekebOe+TSlFUFSC/gn4JSIeDZzHDOznkg6iuqm\n",
"4XuAAOYBEREnZA1mQ8UtY5adpJcAnwB+1xUxMxsyvw3cEhFjEbEkIo4HdkhakTuYDQ9XxqwEvw8c\n",
"DfzjpOEt3pk7mJnZNC4C1k5a9rm03KwnPk1pZmZmlpFbxszMzMwycmXMzMzMLCNXxszMzMwycmXM\n",
"zMzMLCNXxszMzMwycmXMzMzMLCNXxszMzMwycmXMzMzMLKP/A7z4bhwdY1SiAAAAAElFTkSuQmCC\n"
"text/plain": [
"execution_count": 21,
"metadata": {},
"output_type": "execute_result"
"source": [
"import audi2003;reload(audi2003)\n",
"display_raw_data(audi2003)"
"cell_type": "markdown",
"metadata": {
"heading_collapsed": false
"source": [
"### Audi 2012"
"cell_type": "code",
"execution_count": 6,
"metadata": {
"collapsed": false
"outputs": [
"name": "stdout",
"output_type": "stream",
"Overwriting audi2012.py\n"
"source": [
"%%file audi2012.py\n",
"\"\"\"Audi 2012 data from \n",
"http://amdc.in2p3.fr/nubase/nubtab12.asc\n",
"http://amdc./evaluation/data2012/data/nubase.mas12\n",
"Some sanity checks to exclude data with bad errors.\n",
"\"\"\"\n",
"from __future__ import division\n",
"import os.path\n",
"import numpy as np\n",
"__all__ = ['data', 'description']\n",
"_DATA_DIR = os.path.join(os.path.dirname(__file__),'_data')\n",
"filename = 'nubtab12.asc'\n",
"description = 'Audi 2012 Mass Table'\n",
"data = []\n",
"skip_header = 2\n",
"with open(os.path.join(_DATA_DIR, filename)) as f:\n",
# The tables list the mass excess M in keV_90\n",
# The binding energy is Z*M_H + N*M_n - M\n",
M_H = \n",
M_n = \n",
for n, l in enumerate(f):\n",
if n <= skip_header:\n",
continue\n",
A = int(l[0:3])\n",
Z = int(l[4:7])\n",
N = A - Z\n",
x = int(l[7:8])
# 0 for ground states...\n",
err = l[8:9]\n",
if x != 0 or err == 'W':\n",
#print(\"Skip: %s\" % (l,))\n",
continue\n",
M, err = l[18:38].split()\n",
if M.endswith('#'):\n",
#print(\"Skip: %s\" % (l,))\n",
continue\n",
# Convert to international MeV's\n",
E = (M_H*Z + M_n*N - float(M) + float(err)*1j) / 1000. * (1+6.3e-8)\n",
data.append((N, Z, E))"
"cell_type": "code",
"execution_count": 22,
"metadata": {
"collapsed": false
"outputs": [
"image/png": [
"iVBORw0KGgoAAAANSUhEUgAAAmMAAAFfCAYAAAACmMs2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\n",
"AAALEgAACxIB0t1+/AAAIABJREFUeJzsvXmc5FV19/8+MwMMDAMjDosiTqsYUVkGFCQuodQkIqKi\n",
"jo8bMWOicZtHJ5v6/B4NDYnGxGhIHBQTl0ZxS+YRVEQ0UQtR0AFkWARECI0RZQsOy8Ds5/fHubfr\n",
"29+u6q6qrq6tP+/Xq173u9/7reXU+Z57FnN3hBBCCCFEb1jQ6wEIIYQQQsxnpIwJIYQQQvQQKWNC\n",
"CCGEED1EypgQQgghRA+RMiaEEEII0UOkjAkhhBBC9BApY0L0CDM7xcx2mdmT2ji3YmZfT8svNrN3\n",
"1znmdWZ2tZldY2Y/NLMjC/tONLMbzeznxXPN7JVm9lMz22lmTyts/z0zuyJd6woze26DcVXN7LbS\n",
"tvPN7IFW73EmzOwNZnZVem1LY7vKzD7Q4PgHG2wfM7NXtDmGGd8XM/uamV1bWH9LYayXmdlRhX07\n",
"C/d0fjtjamHsq83sozMcU/e7JYToLIt6PQAh5jGvAS5I7Wi7F3H3rwNfr7Prv4Dfcff7zOxE4F+A\n",
"481sIbAO+F3gduByM/uau98AXAu8DPgEUExCeDdwsrvfYWZPBb4FPKbBkH5jZs9y9x+a2TLgUaVr\n",
"dQR3/wzwGQAzuxWouPu9050yzfZ2xzft+2JmLwceKF3/8+5+dtr/YuDDxGcB8JC7H93mWFplxnue\n",
"5rslhOggsowJ0QPMbG/gGcAa4FWF7RMWr7S+zsz+MC2faGY3mNmVhMKUj6lr4XD3y9z9vrT6Y2pK\n",
"wnHAze4+7u7bgS8BL03n3OjuN9W51kZ3vyOtXg/saWa71bk1B74MvDqtvxz4f4Dl+zaz/zSzK5N1\n",
"6CVp+xIz+4aZbTSza83slWn7B5Ol7moz+1Cj97NIssRdYWbXmdmbSvs+krb/p5ktL+5K+5+WrHtX\n",
"mNlFZnbQdH1N976kz/hPgb/J10/nFK2EewP3NHNfhXuopvflx2b2MzN7dto+6XtgZheY2Qlp+cT0\n",
"nm80s/8o3nPav7+ZrTezDen1zHrXFELMDVLGhOgNLwUucvdfAHeb2TENjnPAzWwxYdk62d2fBhxE\n",
"zbLRjFXnj4EL0/LBwH8X9v0ybWuWVwBXJkWuHt8BfsfMFhCK5pcL+x4GXpbu4XmEVQjgROB2d1/p\n",
"7kcA3zKzRwKnuPtT3f0o4K+bHN8b3P3pwLHAO8zsEWn7EuBydz8cuBg4rXCOJyXqo8Ar0vmfAd4P\n",
"YGZvNrM3z9Bv+X35a+AfgIfKB5rZ28zsZuAjwP9X2LU4KU2XmdlLG/TjwEJ3fwawtnQf5ePczPYn\n",
"vjsvd/eVwCsL+zP/BPyjux8HrAI+OcO9CiE6iKYphegNrwH+MS3/e1r/SYNjDTgMuNXdb0nbzgX+\n",
"pLC/IcmP6Y+AZ6VNbU8Zpqm4DwK/N81hO4EfEPe02N1vM5sY4gLgb83sOcAu4NFmdgBwDfAPZvZB\n",
"4AJ3/4GZLQK2mNmniOncC5oc5jvN7JS0fAjwRGBD6i8rhucCXyneGvAk4KnAf6bxLgR+BeDun5iu\n",
"w/L7YmYrgce7+5+a2Uj5eHf/GPAxM3sN8Ckg+5o91t1/bWaPA75rZte6+3/V6TKP/SfAlOuX7ut4\n",
"4Pvuflvqe1Od434XeHLhc1pqZkumua4QooNIGROiy5jZfsSf7+Fm5sSfvgN/CexgssV6cWrLCtS0\n",
"ClihryOBfwVOdPffpM23E0pK5hDCOjbTtR5DKAF/4O63TnOoE1Of5zHVavM6YDlwjLvvTL5ei939\n",
"52Z2NPAi4G/M7Dvu/tdmdhzwfMJasyYtTzfGSjrmeHffYmbfo/YeTjqU+krpT939mdP1UafPeu/L\n",
"8cDT0/0tAg4ws++6+/NKp38ZODuvuPuvU3urmVWBownfvzJbU7uTmhxv9rtT9zaAZ7j7ttK9qXix\n",
"EF1A05RCdJ9VwGfdfcTdH+fujwVuTdaiceApZrZ7cn5/PvFneiMwYmaPT9d4zUydmNljCSXhVHe/\n",
"ubDrCuCJZjZiZrsTU4lfq3eJwrWWAd8A3u3ul83Ut7tfAnwA+GJp1z7AXUkRey6wIl3/UcAWd/88\n",
"MbV3TLLMLHP3bwJ/BhzFzOwD/CYpYocRSlFmAbUputcClxSHDPwM2N/Mjk9j2s3MnjJdZ43eF3c/\n",
"290PdvfHAc8GbsqKmJkdWrjEiwirIGa2zMz2SMvLCUvmT5u458w4sNKCQwjfQAd+REwbj6Rr75eH\n",
"Xzj328A7Cve1ss4xQog5QpYxIbrPq4kprSL/D3i1u7/dzP4NuA64lTR16e5bzexPgG+Y2UOEIpGn\n",
"kRpFA74PeATw8TT9tN3dj3P3HWa2hoj8Wwh8KkVSYmYvA/6ZsF59w8yucvcXElapJwCnmVm2dv2e\n",
"uzd0Pnf3jxRXU/t54Otmdg2hFN6Qth8BfMjMdgHbgbcAS4GvJn85I5zhG3aX2ouAt5jZ9YRyVVQc\n",
"NwPHmdl7gTspBE6k8W43s1XAP5vZvoR8/Efg+uwvVme6spn3pWyFW2Nmv5vu827gDWn7k4FPpPdg\n",
"AfC37n7jNPc86d7T1O6tRCDBDcCVafs96bvzleTHdyfwAiZ/b94BnGVmV6f7vhh4G42/W0KIDmLu\n",
"+p0JIYQQQvQKTVMKIYQQQvQQKWNCCCGEED1EypgQQgghRA+RMiaEEEII0UOkjAkhhBBC9BApY0II\n",
"IYQQPUTKmBBCCCFED5EyJoQQQgjRQ6SMCSGEEEL0ECljQgghhBA9RMqYEEIIIUQPkTImhBBCCNFD\n",
"pIwJIYQQQvQQKWNCCCGEED1EypgQQgghRA/piTJmZu80s2vN7Doze2cvxiCEEO0iGSaE6CRdV8bM\n",
"7HDgjcCxwFHAyWb2hG6PQwgh2kEyTAjRaXphGTsM+LG7b3H3ncDFwMt7MA4hhGgHyTAhREfphTJ2\n",
"HfAcM9vPzPYCXgQ8pgfjEEKIdpAME0J0lEXd7tDdbzSzvwO+DWwGrgJ2dXscQgjRDpJhQohOY+7e\n",
"2wGYfQD4hbufXdjW20EJIXqCu1uvx9AqkmFCCJid/Oq6ZQzAzA5w97vM7LHAy4BnlI8ZFKFsZqPu\n",
"PtrrccyExtl5BmWsAzTOgVFghkWGDdB3YyDGCYMzVo2zs8xWfvVEGQPWm9kjge3A29z9/h6NQwgh\n",
"2kEyTAjRMXqSZ8zdf8fdn+ruK939e70YQ68wY22dbZUeDEUI0SbDLMOyPCrKJckoIeYWZeCfPdXi\n",
"SllombGutP0vC/uyYvbx4no9ha3T4+xjqr0eQAtUez2AJqn2egCiX/nfmyDkU3qthVhO7Vozziss\n",
"V4qvLg602sW+Zku11wNokmqvB9Ak1V4PoBv03IG/Hmbmg+BvUQ8zxtxZbUbFnaoZ97qznxk3uPNk\n",
"M7a7s1s69m539jdjpzsLzbjdnYPN2OTOssI11rmzpsVxVNznx5dYDAeD/Lsv0+/3UpAto8SfXQUY\n",
"IdJ0XFk49CDgUGB92r+ldKmLANw5MytnrcgdySkxLMz2Ny9lrMMUFKoN7hxnxnbgBuAIYCewMLVG\n",
"WCZvA1YU2rOAt7mzwIzb3FlhxsPu7JmVMjNucecJRSXNjGvcObJwTFb+1iZB2bJCJ0Q3GeTffZl+\n",
"v5eCEvaetOnJwKMgHhQbsJ2QWQ8B9wIHAj9L+34A7E0EMnyicM5G4J3APwErC9tWAq8AvgNsoqbw\n",
"taTMCdEvSBnrMXkaEng9IaBWEDmHOjUFfC1whDtmxlZ39jBjV1LWtrqzRxpH3rbZnSVm7HBnUcH6\n",
"lrdnZW2tO2d2aIxCzJpB+t3PRD/eS8EadgmhNBmdCeJy4GHg19QeLB8klLPtwOOB7xFWtrxtt3Ts\n",
"zWl5EXAXoeD9o2STGDR6ooyZ2WLA3X1rux3PcP2+E2SNMONe4AvAW4kEkEsJ4TRX478HWJ6UM3eP\n",
"fsxwwqr2dqa3tmUrW54KlVIm+oJB+t3PRD/eixkbgMcCyyAe4uYQJ5QuT339Jm3fHVhMPKxa4VgH\n",
"dhBK2a3EQ+jtaf96WctEv9MVZczMFgCnAK8Bnknth7QTuAz4PHC+d8jM1o+CLFNWXszYQQia5b0b\n",
"VcvcAzyypJzd4o6KHYue0c+/+1bp9b0UrGCVwuYK8CrgtxiM4K0thMXtZkKB+zSwUYqZ6Ee6pYx9\n",
"H7gE+BqwMVvEzGwP4GjgJcCz3f132h1Iqb++EMoFf6sJJ1MzNgIH057yNZcWs9lwLXC4ewjowtTm\n",
"3e7s3+OxiXlCv/zuO0Ev7qWO4lUF/h54CrAng6GAlXFgK2FRuwO4H7iRsJodBbwP5Gcmek+3lLHd\n",
"3X3bDMfs0alpy34RymZsdGelGaPujKZt9wPjhEN+u75h+U3v+T2WuDYFAXhxGlTKmegG/fK77wQ9\n",
"UsbGCNk0UmgPAk4gAocWMpgKWZGdxPTndmAJcFPanhW0m9O6LGiiq3RLGfsY8AV3/0G7HbVCr4Ry\n",
"0bSf2jvcOciMh6lFGS1s4lLZAraNeKKbziKWIyw76fQ/a0rKWLl90J29s99Zr8cqhgMpY632Mcli\n",
"vxb4v4SD/G40J6casYuQV4PwWWxPbVbSHgD2I6z9G9I+KWhizumWMraW8DV4NPBl4IvuflW7nTbR\n",
"X6+UsTHgGOBwQoEqK0dlpSqvX0tYynI703E70/bZCMx6zOU06C5gwQzK2e3uHDxH/YshR8pYq30w\n",
"SkzVvYjpU1LMxA5C1vXNw+AsyA+12d8MIsr9EOBqwnqWAwNuRgqa6BBdjaY0sxHg1YRithcRRfhF\n",
"d79pmtNaH1TvlLHbgfOIyMj/ZnJE4mzISlLRYgZhNbsP2JfI3bMXtbDvfqehcgaT/M4UGCCaQspY\n",
"K9dnLREV+SrgiWnzbB/usnzK1vp+9XFtlRyluZm4r6ykPUy8h9+moKApuly0Q8/yjJnZ0cBngCPc\n",
"vaMWnm4K5ZQn7GTCtL2U9qYLsyKVFas7iXw51xJWtgfTtYtCDjoj6JwYc6+nOieUM4hUGw2sZ1LO\n",
"RF2kjDVz3Ql5dRCzT0/hhXYYrGKtsIuQx7sRVrTthNKWt30PpdYQLTDb33xLP0AzW2RmLzGzLxBl\n",
"MG4EXt5u533G4tSW35Psk/AAYSXLy8V9+dx9U3tAap9EKFw3Ej/y3yWEwDZq1rEc9PCr0rV3lcYx\n",
"ndbcD4I0R2J6ynlWZklqH5+Ouzu113RneEIMPqmKxgWEVb0eO4npuNuIh8OdDY4r/kabkR87CWWl\n",
"LJfqXTO30x3baxZQm4FYTDws70s8lO8LnAS8AXgt8HEzzjPjGhVMF3NFsz5jv09MT76IcIr8IvA1\n",
"d39wTgbVpSfk9MP6d5pLU3FtautFUWZz/hbih52nGpsx8+drNfIzy0EA+ZqNjh8EpvM7m1TOqdcD\n",
"Fd1HlrGZrsk1wFPTaqsPYFsI+VFMtlqmKMd2b6OPZhkk2bWDGO9OwhL5M1LkpuSUKNIty9h7iOSu\n",
"T3b3F7v7F+ZKEesylZSq4Vtpem1XancQiVGLHJFeRe4kLFtnEMrSRdTM3zBV4GTNdyc1i9glhPC7\n",
"Lu3PFrN8bn4C3q20fUdqd1F7Am32SbT8BNstJqxnqS0+tR+e2jenfZfkHXoaFQLcORL4a+D5hOw5\n",
"h7Co76QmNx5I6+Xf9mLClaEsk3ZSs/r/gnC5eLDBNWYiy6LLCZnWSB4NiiIG4Wu2G/H+GZEw90Tg\n",
"9WZcYsbtkk+iEzSljLn789z9X4FNZvYHZvZXAGb2WDM7bk5HOLeMpPaw1GblYEdS0rYk5Wxnas8i\n",
"BNTmdNwBxNPSacQP9hQmm7/vTO1ZwOaUVHUz8DfANelaK4kfeh5LnvrMytpDTFbSMkXlLAu3ZpVr\n",
"K7W9oqicWVLOcq28ZxaO+1I6rtLV0QnRn1SIFBbjhMX+bOJheSthtWk0nVhvynIL4UKwgiiVtBcx\n",
"U7AbIR82p+vdSEx7lt0odhaWs+/Z06kpL/X67Ofpy5lYQG1a85lEhoE8jblu2jOFmIZWoynPJn5I\n",
"z3P3w8xsP+Db7v70jg5qDqcr6jjsz2Qyz/uzEFrK5NqPBxKh048mhOEe1Bz18/oD6bwHCudDRPN8\n",
"B1hNvK9FJ/8HiB/9vxDZ8Ssp+/8CaukxFlJIk5Gm+7YDnyBqVObAgnI053T0U76ze1JEZp7KvN+d\n",
"fcy4xJ3n9HpworNomrLRtVgHrCJ+y0ubPK3eb31X2r6FyMj/MKGI5YfGnwPHAj8iEsXemfpcQsij\n",
"HJy0nJA7Rihtm4mHqG+m664gHi4XMjkyvJ6LRQ5Agsiu/4g69zEIAQbbCKX1FkJpvcedl/V2SKKb\n",
"dNWBH3iGu7+NFBrs7vcyGGkYJnBnjftEhmqYOsVXfMqDmtBYSk0QPia1S9L+bM3K1qt8jfze7F0Y\n",
"wmbggjSGtya/g/Xu7AOc484i4Oq0/oW0//x07sY0VXFxOi5PVRaLhS8iFDGoOd3eSq1wb/HeqLPe\n",
"T0JveWFKcxxYmv6Ynpm2yflfDD1JBvyCyZHYkw6ps83qbF+QrrEkLe9FyIjNhIL2ROLhcYSQZY8g\n",
"Huhy3q7t6ZzsU5b7tnTe0cDxhOL2d+7sDpwOfIuYUn0+IbsWpPVzgDOSLDvXnf3SuZupySqjv2RS\n",
"I3Yn3pvDiffgJcnh/zwz1qVXpacjFH1Nq1/ybWY2kcbCzPZnwEzO6UcxDuyTNv0ytV8jLFZXpvX7\n",
"U3snIXDOSq/thXOyCf8Cwk/irwhr2KVp/x3p3E1p/V5qClQ9RlK7MbVjAIW8N2OpzcrZpjSWPG1a\n",
"Fr57E8J3MXAXMZUB8P10Hz8gPr9fpO23pdfWdK+7mBpB2qvPO+d6exuwICllhwMka6AQw8yFwE8J\n",
"uVSe+nuQ+D0/BFxMWGYuJlwhvgX8Jq3/Ki3nh0Yn5MMhaf0XwC3pQfF7wA3E9CSp/RUh404mlKzf\n",
"J5SpJYT8g1DUjgXekuTsScAHgfGUHiLLrvH0qqb1sdReCvwHISsbRYKS7ncXNRncLxjxQLyACLY4\n",
"CXh9en2joJytlXImJuHuTb+AUwml5XbgA0RdsP/VyjWa7Mc7fc3atf0a8B3gO8G98MrrO1K7PbWb\n",
"UltNr3vB16X942nf/andXDpnA/hG8Ap4NfW/tjCW0bwvLd+R2jHwShP3UrzWeGqrpfVKqc37Lyq1\n",
"GwvX2pjbdK87Cve4q/S+9fq1Pb4uDvj21G6aq++PXnP3msvf/SDeS/rtjRfkTKPf3v1JdmyvI9fK\n",
"8u3+dL2bk+wZS7/z0SSHNqXli9K+0cK2CbkEPpraSet1livFtri9nowrbgO/IfWd5WmWzc28doBv\n",
"S69873l9U+G+d07znnXylfvYSvxP3J3u7xLwW+q9F3oN1mu2v/nsLN2s4naumV1JmJsBXuruN7Ry\n",
"jT7g+4Tjffa/yL5Xm4lpxZ8TTzT/Q/iDAXg6HsLEfzI1R/P7gHMJK9SDhMK6ifCvOICwSq0inhgh\n",
"Mj5nP5BTgXcQ0wHHE6b+N6UxrITpEw365EzR+Ql2PLWb0jHVYlvY/8FSm61xUHtKHXPnTDP2dme1\n",
"GRcRJUTeTExVF5PkOr0JCFgEE5GZ2dK7b9qWE82e5/LfEAOGO2ssflGrqCWUvo/aNGP2+bqXkDOb\n",
"CMv+jwg5sh04mPiN5N/mXtTSW5xP+HjtTpRVyryHkH8Xpd//iDujpeFV0xirxfU691A+jnrrDba/\n",
"lQhWqAJnkmRUkkWjwNp03B7Ucj1mdhDvx2XE9OluhMWNdJ2NwMep+dHN9VRovv7u6bUn8V9wKPH/\n",
"c44ZPyH88a5GlQDmHW1n4J9L5jB7dcWjAPhY+kHnP+tcEHycmHJ8K6GclbPm50z3txEO+zcSEUib\n",
"qDn0LyMpZ6mPcp8bqCWFLZ9zTx2h1+q95XZtvR9zsbhwM9tL1x51Z7RwLzcTUyPFgIJeUy+X2S73\n",
"ichN1c/sU+TAX+86VGBiOmuEeJiqEDJjE/HA+AjiYW8F4WKQHesbKRl3EgFMTkxbHkhNDt3kzqQI\n",
"+elkQ6Mxt3J8M9dKdYPHgHcSytWjCKVmuofAoszeTDwsX5YfzNJ7u5JQzCrpnNWEbM9KWlnJmyuc\n",
"+OwWEp/lHsTU9O2oAsBA0K1C4V+n8Zfe3f0lLXVq9n8Iq9AuIjT7De6+tbB/rpSxrExsJPyl3kIo\n",
"E/UsPA8QT50fB05059CCNauofC0G1pMUqTrK1yjxtFM+D+Aud44rjGu0XWWsG9RR+PI9XuTOiWZs\n",
"I/xKZopU3U4InZ8SVsgdNM4oPlsalWna7s5ujZRW0X0GSRnrlgxL8uMkwoKyF/EnnX1E/4dQrFZS\n",
"c84vso2wnm0H3p+O+z1q1TD2JWTRQcAnSbkV+1EGlRW8pEitJu7hudT8Y7PM2UnOoUm\n",
"vc9VwioI4e87TgRDLYPWZpFmSb6HzcRneDOhqN0DPMEjiEv0GbP9zTf7BTue+GJ/Efhx7ju1LZnW\n",
"UrHxNxEJZLea2ZeJ7P7ntHKdVkmK1Goz/oxQFA6nFlkEU5WGxYSAOxl4TM4MbzahdI25s7pw/bEG\n",
"fRanLTcR0wwXpGuNpkOrpbYvqTPlMJbaPNV5vTsrU8H1pdRPMLmASNfxbEIhPowQNLszVSHO363Z\n}

我要回帖

更多关于 网址的组成部分 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信