如何用verilog写nand flash 的控制器

随着智能手机、平板电脑、固态硬盘等产品市场的扩大,对快速大容量存储器的需求日益增长NAND Flash作为一种非易失性存储器,具有存储密度高、编程擦除速度快、成本低、寿命高等特点,成为存储芯片的主流。NAND Flash控制器的研究具有重要的价值,它负责完成NAND Flash存储器与外部设备之间的数据传输控制器设计的好坏影响到整個存储系统的性能。与一般控制器不同的是,本文设计的NAND Flash控制器,既支持ONFI1.0~2.2的异步与源同步接口,又支持Toggle DDR1.0接口控制器内部有专门的物理层电路来產生高速DDR接口时序,发挥出NAND Flash的最大传输速度。结合SoC开发的需求,所设计的NAND Flash控制器是采用AHB接口,目前最主流的片上系统总线内置DMA模块进行数据传輸引擎,主动从外部搬运数据,无需CPU干扰,进一步加快了数据传输。由于NAND Flash存储过程中出现比特位反转,控制器内增加了ECC校验功能,来进行错误检测与糾正ECC模块采用IP核,基于BCH算法,能够实现1K字节数据中纠正32位随机错误。最后,对NAND Flash控制器进行功能仿真,仿真结果表明所设计的NANDFlash控制器能够完成对NAND Flash的各种存取操作,接口时序满足ONFI与Toggle的标准要求然后采用SMIC0.13标准工艺库进行逻辑综合、布局布线,在SynopsysPrimeTime下进行静态时序分析与优化,修复了建立与保持時间的违例。

通过平台发起求助成功后即可免费获取论文全文。

您可以选择微信扫码或财富值支付求助

我们已与文献出版商建立了直接购买合作。

你可以通过身份认证进行实名认证认证成功后本次下载的费用将由您所在的图书馆支付

您可以直接购买此文献,1~5分钟即可丅载全文部分资源由于网络原因可能需要更长时间,请您耐心等待哦~

}

来源:《电子技术与软件工程》

為了解决雷达数字波束形成系统中数据的存储问题本文设计了基于

制器。通过有限状态机实现对

中对设计进行了仿真验证并

通过硬件測试证明了设计的正确性和可靠性。该方法充分利用了

储读写速度快的优势具有成本低廉,兼容性强易于工程实现的特点。

随着数字陣列雷达的高速发展对数字波束形成的要求越来越高,在实时处理过程中有大

本身存储容量非常小无法满足数字波束形成处理过程中嘚存储

需求。为解决这一问题采用

制,极大的扩展系统存储容量

语言编写同步有限状态机实现了

型号,该型号芯片的主要特点有:

源供电内部进行编程和擦除操作;

个扇区,可读每个扇区单

独进行读写和擦除操作而不影响其他扇区的数据;超低能耗,典型读操作只需消耗

典型静态模式只需消耗

;操作简单,只需按规定的

内部命令寄存器写入指令序列即可

为三态数据总线,其它信号为芯

片读写控淛和操作标志信号

的引脚除电源、时钟和复位以及几个配置时钟引脚外,其

个速度等级可充分满足

的工作时序要求。根据芯片的数据掱册采用

语言设计有限同步状态机实现

控制指令序列的时序状态转换以完成对

的擦除、读、写等操作。

}

我要回帖

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信