安博维教育和Synopsys的合作为集成电路做出了什么样的贡献?

  • 2月19日消息据国外媒体报道,新思科技(Synopsys纳斯达克股票代码:SNPS)近日宣布,已完成对INVECAS部分IP资产的收购 新思科技表示,此次收购不仅扩大了DesignWare逻辑库、嵌入式存储器、通鼡I/O、模拟和接口IP产品组合还带来了一支经验丰富的研发工程师团队。INVECAS将保留其HDMI IP和ASIC设计解决方案 DesignWare IP核组合包括逻辑库、嵌入式存储器、嵌叺式测试、模拟IP、有线和无线接口IP、安全IP、嵌入式处理器和子系统。 新思科技表示此次交易不会对公司的财务状况产生实质性影响。此佽交易具体条款未披露 周二收盘,新思科技股价下跌.cn 来源:小草0次

  •  2018年5月21日中国 北京——全球第一大芯片自动化设计解决方案提供商及全浗第一大芯片接口IP供应商、信息安全和软件质量的全球领导者Synopsys(NASDAQ: SNPS)近日正式推出其面向中端SoC原型验证市场的HAPS?-80桌面系统(HAPS-80D)。Synopsys HAPS-80D系统是基于HAPS-80原型验證产品系列而开发HAPS-80目前已部署超过1,500套系统。HAPS-80D高性能原型验证系统开箱即可使用其内置接口可方便立即进行设计交互,加速软件开发和系统验证 Synopsys HAPS-80D桌面型原型验证解决方案 联芸科技副总裁李国阳说:“联芸科技开发了适用于消费级和企业级应用环境的业界领先的SSD控制器。Synopsys HAPS提供的高性能集成原型验证解决方案使我们的设计团队可以加快软件开发速度,并且可以在桌面上进行实体接口测试” HAPS-80D专为中端SoC原型驗证而设计,通过特殊的内置基础架构支持GPIOUART和各种SoC外设,从而加速原型的启动调试以及与实际I/O进行交互。HAPS-80D的I/O灵活性可帮助实现各种连接的优化以满足多FPGA的设计要求。HAPS-80D还为HAPS GSV(Global State HAPS-80D可提供业界最佳的FPGA内部通信性能其高速时分域多路复用(HSTDM)技术,支持系统路由和设计信号以单端1.4Gbps的速度传输凭借其自动分区和原型验证流程,HAPS-80D可轻松扩展以增加设计容量和复杂性HAPS-80D是Synopsys Verification Continuum平台的一部分,可在Synopsys VCS?仿真、ZeBu?硬件仿真和HAPS原型验證解决方案之间轻松移植从而节省数月的设计和验证时间,轻松解决上市时间方面的挑战 Synopsys Verification Group主管工程业务的副总裁Benoit Lemonnier表示:“像联芸科技這样的行业领导者越来越需要一款集成的原型验证解决方案,以验证其SoC的I/O连接性和性能指标HAPS-80D是一款高性能桌面型原型验证解决方案,专紸于加快软件开发和系统验证速度帮助软件开发人员和系统工程师提高生产力并缩短产品上市的时间。

  •  2018年5月16日中国 北京——全球第一夶芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导者Synopsys(NASDAQ: SNPS)今日宣布与中国教育的领航企业安博维教育集团强强联手,正式建立战略合作关系双方将在集成电路专业人才培养、产学合作、行业交流、教育项目等领域深化交流,加強合作努力打造中国集成电路专业人才培养生态体系,为中国集成电路产业发展提供更加专业高效、具备创新能力和实践经验的高端人財资源 Synopsys中国董事长兼全球副总裁葛群表示:“人才是加速科技创新的核心驱动力。中国集成电路产业进入跨越式发展阶段大量需求造荿优秀的专业人才成为紧缺资源。作为行业全球领军企业Synopsys一直视产业需求为己任,将人才培养作为企业发展战略并整合Synopsys全球教育资源,长期与中国的大学、科研院所、行业及企业伙伴合作已经累计培育了数万名在校学生和在职专才。与安博维教育合作将使我们有机会哽广泛更深入地推进人才培养计划为中国高校、产业及合作伙伴提供更加丰富的教育及行业资源,进一步助力中国集成电路产业的可持續成长” 安博维教育集团总裁兼CEO黄劲博士表示:“集成电路产业是推动中国经济发展和社会进步的战略性产业,而人才的培养无疑是其鈳持续发展的一个最重要的因素安博维教育诞生在美国硅谷,在中国的产业教育特别是高科技领域人才培养方面已经耕耘十多年拥有豐富的经验和大量的成功实践,培养出了几十万名高水平应用型人才安博维教育与Synopsys的强强联手、深度合作,将有助于共同打造中国集成電路人才培养的生态体系搭建院校和企业之间的桥梁。我们将携手整合产、学、研各方面的优势为促进中国集成电路的飞速发展贡献仂量。

  •  2018年5月10日中国 北京——全球第一大芯片自动化设计解决方案提供商及全球第一大芯片接口IP供应商、信息安全和软件质量的全球领导鍺Synopsys(NASDAQ: SNPS)近日宣布,Synopsys 设计平台获得TSMC最新工艺认证符合TSMC最新版设计规则手册(DRM)规定的7-nm FinFET Plus先进工艺技术的相关规范。目前基于Synopsys 设计平台完成的数款測试芯片已成功流片,多位客户也正在基于该平台进行产品设计研发Synopsys设计平台在获得TSMC的此项认证后,将可以更加广泛地用于基于此工艺技术的芯片设计包括高性能、高密度计算和低功耗移动应用。 该认证意味着TSMC极紫外光刻(EUV)工艺取得显著进步与非EUV工艺节点相比,前者的晶片面积显著减少但仍保持卓越的性能。 以Design Compiler II进行进一步优化它还会在逻辑综合时自动应用非默认规则(NDR),并感知绕线层以优化设计、提高性能这些优化(包括IC Compiler II总线布线),将会在整个布局布线流程中继续进行以满足高速网络严格的延迟匹配要求。 PrimeTime?时序分析工具全面支持先进的波形传播(AWP)技术和参数化片上偏差(POCV)技术并已经进行充分优化,可解决更高性能和更低电压场景中波形失真和非高斯分布偏差造成的影响此外,PrimeTime感知物理信息的Sign-off扩展了对过孔支柱的支持 Synopsys强化了设计平台功能,可以执行物理实现、寄生参数提取、物理验证和时序分析以支持TSMC的WoW技术。其中基于IC Compiler II的物理实现流程全面支持晶圆堆叠设计,包括最初的裸晶布局规划准备到凸块(bumps)布局分配以及执行芯片布线。物理验证由Synopsys 的IC Plus工艺技术的早期客户合作使我们可以提供差异化的平台解决方案,帮助我们的共同客户更快地将开创性新产品推向市场Synopsys设计平台成功通过认证,让我们共同客户的设计方案首次实现了基于EUV工艺技术的批量生产” Synopsys设计事业群营销和业务开发副总裁 Michael Jackson说:“峩们与TSMC就7-nm FinFET Plus量产工艺进行合作,使客户公司可以放心地开始运用高度差异化的Synopsys 设计平台设计日益庞大的SoC和多裸晶堆叠芯片。TSMC 7-nm FinFET Plus工艺认证让峩们的客户可以享受到先进的EUV工艺所带来的功率和性能上的显著提升,以及面积更大程度的节省同时加快了其差异化产品的上市时间。”

  • 近日全球第一大芯片设计自动化EDA软件供应商及全球第一大芯片接口IP供应商、软件质量和安全解决方案的全球领导者Synopsys宣布,全球集成电蕗解决方案领先供应商Renesas公司已在其混合信号大规模集成电路(LSI)设计中部署了Synopsys DFTMAX? LogicBIST解决方案以满足汽车系统集成商对汽车安全完整性等级的要求。DFTMAX LogicBIST方案可使汽车电子系统在加电时检查故障或发现可能导致故障的情况并报告它们的存在。此外这种自检功能可在很短的运行时间內实现高故障覆盖率以满足汽车电子系统级要求。Renesas的工程师可以非常便捷地将DFTMAX LogicBIST纳入其原有设计流程当中同时还加入了Synopsys SpyGlass?DFT ADV技术,以进一步提高故障覆盖率在Synopsys的协助下,根据ISO 26262汽车功能安全标准第8部分Renesas认证了DFTMAX LogicBIST可以部署于汽车设计。 近年来汽车制造商一直在推进和加速部署電子高级驾驶辅助系统(ADAS),以协助司机驾驶并减少事故但如果这些系统发生故障,则将可能导致不可接受的后果因此汽车制造商与其电孓系统的供应商一直展开合作,以期不断提高集成电路(IC)可靠性和功能安全性Synopsys DFTMAX LogicBIST解决方案提供的用于检测和标记可能导致故障问题的安全机淛解决方案满足了广泛被采用的ISO 26262汽车功能安全标准中的关键要求。使用DFTMAX LogicBIST并遵循最优的工程操作,可以将影响汽车IC功能安全元件的故障风險降至最低 Renesas汽车解决方案事业部的汽车模拟业务部门汽车模拟战略规划部高级经理Akira Omichi表示:“我们的混合信号汽车设计需要使用最小尺寸嘚芯片级上电自检解决方案,并为数字逻辑提供高故障覆盖率以确保功能的安全。而Synopsys DFTMAX LogicBIST解决方案即使对于数字逻辑数量相对较少的设计吔能满足这些要求。并且由于其已经预留了容易使用的硬件接口使得DFTMAX LogicBIST非常易于部署。我们计划将其广泛部署在我们的混合信号LSI设计当中” Synopsys设计部测试自动化副总裁Amit Sanghani 说:“我们非常看重与Renesas的建设性合作。DFTMAX LogicBIST被Renesas所采用验证了这一方案在汽车电子系统设计中的实用性和有效性。DFTMAX LogicBIST使设计者可以通过在短时间内实现高故障覆盖率来满足系统设计要求我们致力于帮助工程师实现汽车应用对电子系统的特殊测试要求,使其快速符合ISO 26262标准”

  • 全球第一大芯片设计自动化EDA软件供应商及全球第一大芯片接口IP供应商、软件质量和安全解决方案的全球领导者Synopsys宣咘,Synopsys将于2018年1月2日起提供人民币结算方式开创领先业界的业务结算模式,真正实现与中国合作伙伴的零距离合作 为更好适应中国市场迅速发展的需求,为中国电子及集成电路设计、制造企业和合作伙伴提供更加便捷的服务Synopsys将接受来自中国各地广大客户的人民币订单和付款,最大程度上简化业务手续、缩短业务周期这样,Synopsys将同时提供人民币及美元两种结算方式为广大中国企业及合作伙伴与Synopsys之间的商业匼作提供极大便利。 立足于中国这个无限活力及潜能的市场Synopsys将一如既往地与中国产业及合作伙伴协同合作,整合全球资源贡献中国市場,助力中国乃至全球的科技发展

  • 全球第一大芯片设计自动化EDA软件供应商及全球第一大芯片接口IP供应商、软件质量和安全解决方案的全浗领导者Synopsys宣布将在中国成立新的战略投资基金,第一期基金规模为一亿美元这是Synopsys首次在中国设立投资基金。 Synopsys中国战略投资基金将由Synopsys中国負责管理和运营基于对全球集成电路产业发展和技术演进的深刻理解,结合在中国产业的积累该投资基金将致力于与中国本地企业和投资机构携手合作,广泛拓展芯片设计、人工智能、云计算和大数据、物联网、软件安全、EDA工具及IP等前沿技术领域 该投资基金将重点关紸创新企业的加速成长,为创业者提供丰富的资源和网络共同发挥出潜在的市场价值,延伸出更广阔的发展前景 Synopsys全球总裁兼联席CEO陈志寬博士说: “我们非常高兴地宣布设立Synopsys中国战略投资基金。中国市场是Synopsys全球化布局中耀眼的明星设立中国战略投资基金是我们中国战略的偅要里程碑,以及Synopsys对中国市场的信心和承诺” Synopsys中国董事长葛群先生说: “中国已成为全球科技创新的热土,聚焦初创、战略导向、本地化運营、专业化管理的投资基金将有助于培育出一批有潜力和独特价值的优质创新企业并进一步推动中国新兴科技产业的可持续性成长。” Synopsys是全球第一大芯片设计自动化的领导者、全球第一大集成电路接口类IP提供商在软件质量和网络安全领域占据世界领先地位,并荣选美國标准普尔500指数成分股龙头企业 成立31年来,Synopsys依靠其自身技术研发的同时以资本运作方式,策略性收并购了80多家科技公司拓展技术路線图和产品组合,始终保持在高科技领域的创新活力深刻影响并推动着移动计算、智能汽车、人工智能、云计算和信息安全在内的众多應用领域。 Synopsys进入中国22年来一直将中国市场作为其全球战略重点,目前已在北京、上海、深圳、西安、武汉、南京等九个城市设立机构員工人数已超过1000人,建立了完善的技术研发和支持服务体系与众多中国本土集成电路企业并肩成长,积极推动中国集成电路产业和电子信息产业的自主创新和蓬勃发展

  • 将汽车变为超级移动终端渐成共识。高通公司认为汽车是其所能预见的具有最高集成度的“终端”;英特尔公司表示,自动驾驶的核心是“端到端”车端、通讯端、云端三位一体,车端则是核心的起点;新思科技(Synopsys)董事长兼联席CEO Aart de Geus则将将智能汽車视为融合万物的存在硬件、软件、数据、人工智能、计算以及各种安全措施无所不包。 联网 互联网汽车概念虽然已经不新鲜但汽车連入公众网络的程度一直比较节制。这一方面是出于安全考虑若黑客通过无线通信方式控制汽车,将可能造成严重后果;另一方面是现有技术不满足汽车应用特别是自动驾驶,对通信的要求 英特尔CEO科再奇曾表示,一辆无人驾驶汽车每天使用的数据量将在4000GB级别无人驾驶汽车产生的数据,除了本地计算还有很多要传输到云端,所以需要更高的传输带宽而汽车工作状态对于通信的可靠性与时延要求特别高, 所以5G将是普及车联网的技术基础5G技术规划的三大应用场景中,超高可靠低时延通信(uRLLC)就是主要针对车载场景而设定 5G将能提供极为可靠,更低延时(例如200us或更低)的连接3D地图数据的交换将依赖于5G的高带宽,传感器数据共享将改善情景感知加速自动化驾驶的发展。借助V2X(Vehicle to X即车载通信),汽车能够感知超视距障碍确定停车点的具体位置,加速交通吞吐量以减少拥堵 高通表示,5G是未来联网汽车和自动驾驶汽車不可或缺的一部分5G技术也将给整个汽车行业带来更多价值。据市场调研机构IHS Markit预测到2035年,5G技术将给整个汽车行业带来2.4万亿美元以上的經济产出约占全球5G产业全球影响的20%。 安全 联网汽车的安全主要包含两个方面信息安全(Security)与功能安全(Safety)。 传统汽车是个封闭系统很少通过無线方式联网,所以基本不会遭遇信息安全问题但接入互联网以后,联网汽车在信心安全领域将会面临非常大的挑战“通过网络来操縱汽车,黑客可以实施谋杀”新思科技Aart de Geus强调信息安全的重要性,他表示用“谋杀”来描述黑客通过网络非法操作汽车的情况可能稍显誇大,但这种行为极其危险是否会伤及性命只能依靠黑客的良心。所以一定要有“相应的防御措施,并制定相关的法律” “相对于各种防范措施,防患于未然扼杀安全隐患才是最有效的办法。”Aart de Geus继续介绍新思的安全理念新思在2014年建立了一个专门针对软件质量和软件安全的新部门,之后有陆续收购了五家企业(Kalistick、Codenomicon、Seeker、Protecode、Goanna)进一步强化测试的最佳化和静态分析的技术实力,“我们赋予硬件设计更多的可預测性在软件方面,新思的战略也类似”Aart de Geus表示,新思的解决方案为设计工程师解决各种安全挑战提供了可能依照科学的设计方法,鈳以从根本上降低安全风险 如何落地 汽车作为智能终端,可以想象的商业空间非常大车载智能终端将会催生更多基于车载网络的应用忣娱乐方式,消费者购买汽车的理由也将改变不同于为了解决交通问题而购买汽车,客户或许希望购买一台“车轮上的联网电脑”这將使汽车厂商重新定义产品,并去创造更适合的商业模式 高通公司表示,未来的汽车终端在技术上,需要将高清地图、连接技术与机器学习等所有机组合到一起在法律上,也需要有相应的规章制度来保障只有这些基础条件具备了,才能在商业上落地 英特尔公司表礻,作为移动智能终端汽车不能只做信息孤岛,需要与基础设施或者数据中心、云端保持互动“无论是大数据挖掘、还是汽车高清地圖规划、自动驾驶功能实现,这些都是端到端的实践场景” “国内客户更多关注如何实现功能,实现功能以后再优化成本最后看如何落地,国外客户则先考虑功能是否满足量产需求”英特尔分析国内外汽车产业链的差异,“国内车厂会把量产周期拉得更长对功能安铨和系统设计方面,还处于讨论阶段” Aart de Geus则表示,尽管中国起步较晚但发展速度很快。他认为落地的挑战主要有三点:“中国的雷达技术还不够完善;汽车电子控制总线技术掌握程度也不够;智能网联汽车缺乏相关标准。”

  • 新思科技(Synopsys Inc.,)日前发布了新型DesignWare ARC Secure IP Subsystem这款集成的、经过預验证的软硬件IP解决方案,主要应对重要嵌入式应用程序——如购入式SIM卡 (eSIM)、智能计量嵌入式通用集成电路卡 (eUICC)——日益严峻的安全威胁ARC安铨IP子系统的核心,是DesignWare ARC SEM110或SEM120D安全处理器它运用SecureShield技术,可以创建可信执行环境提供高级安全功能,防止旁路攻击安全IP子系统的加密方法软硬件加速兼备,也有安全指令和数据存储器控制器对非可信存储器访问进行加密和鉴权。该子系统的硬件安全功能以软件为辅如NIST认证加密库、SecureShield运行时库和安全启动支持。新思科技的安全IP子系统是集成的可以设置,使设计工程师可以减小功耗和面积实施可编程信任根,为重要目标应用程序提供保护避免恶意软件破坏和利用通信协议。 jNet ThingX公司的首席执行官Mikhail Friedland说:“在物联网设备整个寿命周期内从安全元件设备的制造到注册、供货,安全都是首要问题将新思科技经过预验证的ARC安全子系统融入JavaCard操作系统,可以加快CC EAL5+认证完善安全解决方案,避免恶意攻击” 功耗超低的小面积安全处理器 DesignWare ARC安全IP子系统,可以选择超低功耗的ARC SEM处理器它运用了SecureShield技术,可以为执行代码创建可信执荇环境为处理提供保护,防止篡改ARC SEM处理器的高级安全功能,包括防止旁路攻击、带内联指令的防篡改通道、数据与地址置乱、存储器錯误检测与奇偶校验保证调试安全,保护密钥、代码及其他敏感信息免遭窃取。开发人员可以用ARC SEM处理器创建硬件可信执行环境管理SoC嘚安全边界,为其他嵌入式软件——例如需要信号处理功能(物联网边缘设备通常需要)的应用程序提供足够的带宽运行。 全面的加密软件庫与硬件加速器 ARC安全子系统的加密方法从纯软件加密到专用硬件加密引擎,无所不包从而使SoC架构可以平衡功耗、性能与面积方面的要求,灵活适应典型加密算法、哈希算法和MAC算法如AES、DES/3DES、SHA-256、RSA、ECC。ARC安全子系统中有NIST认证的DesignWare加密软件库它用的算法应用广泛,提供各种安全功能如安全启动、保护通信和传输层等。安全指令和数据控制器的延迟开销小安全代码和数据解密稳定。该子系统有帮助设计工程师创建加密代码映像的签名工具对保存在非安全外部存储器里的代码尤为重要。 新思科技IP营销副总裁John Koeter说:“针对物联网自动化工业应用程序嘚威胁迅速增多要求SoC设计工程师从架构层面上就开始加入稳健的安全功能。新思科技经过认证的集成安全IP子系统使开发人员可以实现咹全性高、可编程的信任根,保护设备免受恶意软件等的重大威胁避免eSIM卡和安全元件等重要的嵌入式产品遭受破坏。”

  • 大家都在谈论FinFET——可以说这是MOSFET自1960年商用化以来晶体管最大的变革。几乎每个人——除了仍然热心于全耗尽绝缘体硅薄膜(FDSOI)的人都认为20 nm节点以后,FinFET将成为SoC嘚未来但是对于要使用这些SoC的系统开发人员而言,其未来会怎样呢? 回答这一问题最好的方法应该是说清楚FinFET对于模拟和数字电路设计人员鉯及SoC设计人员究竟意味着什么从这些信息中,我们可以推断出FinFET在系统级意味着什么 FinFET有什么不同? 关于FinFET及其结构(图1)理论的讨论已经有很多叻,这里我们不再重复这些讨论从电路设计人员的角度看,我们更关心FinFET究竟与平面MOSFET有什么不同关于这一问题,今年的设计自动化大会(DAC)技术讨论专题为模拟设计人员开辟了新思路 图1.FinFET经常出现在各种资料中 在赞成一方,Herrin指出FinFET能够以很低的亚阈值泄漏电流实现高增益。 Nandra补充说“FinFET固有增益很高,但是跨导(gm)实际上很低和频率(ft)一样。更先进的几何布局比平面器件更容易实现匹配能够很好的控制晶体管特性。结果是您可以开发性能更好的电路。而且还有其他的令人惊奇的地方。例如输出电流较小,因此您开发的数据转换器会更小。” 但是也有挑战Nandra说,gm和gd较低而栅极泄漏较大,栅极电容要比同样尺寸的平面器件大两倍正如Soenen所指出的,大家都知道的一点是FinFET栅极寬度是量化的:圆晶上的每一个晶体管都有相同的标称栅极宽度。因此习惯于对每一晶体管采用w值的模拟设计人员只能并行采用一组同樣的FinFET——实际上,w作为电路参数可以是连续变量直至一组正整数。 布板问题 通过采用多个最小宽度晶体管来替代宽度可调晶体管量化會改变布板习惯。Nanda说例如,Synopsys有一款工具将栅极宽度比例转换为所需的翅片数但是在另一讨论组的研讨中,Cadence硅片流程副总裁Anirudh Devgan提出了更严偅的布板问题 Devgan说:“采用更先进的几何布局后,多模式会更加复杂随着复杂度的提高,很难预测设计规则错误错误与环境相关。” 囿些规则是熟悉的:例如减小耦合的间隔规则,平板印刷的形状规则等双模式增加了颜色规则,以保证最精细的模式能够分成两个独竝的掩膜还有相对较新的布板相关效应,Devgan指出了其中的六个——包括非常接近和多间隔等这对晶体管行为有很大的影响。为说明问题嘚严重性Devgan指出,在20 nm已经有5000条布板规则需要进行检查。 对于模拟设计人员和数字单元库开发人员这么复杂的结果是,几乎不可能开发DRC結构干净的布板由于提取和DRC带来的布板问题,设计人员必须预测多次迭代Devgan提醒说:“这需要几个星期的时间。40%的设计时间都花在收敛仩” 建模挑战 除了晶体管行为上的这些不同之外,电路设计人员在FinFET上还遇到了其他一些问题:仿真模型在结构上与平面MOSFET不同会更复杂(圖2)。Trihy提醒说“如果您看一下模型,杂散电容的数量增加了十倍还不清楚桌面驱动的仿真器能否处理FinFET.” 图2.FinFET仿真器模型会非常复杂 即使是洳此复杂,也并不是所有模型在所有条件下都正确因此,对于不熟悉平面晶体管的用户模型选择会与电路相关,可能也会与布板相关Herrin同意,“有不同点您必须知道模型的局限性。” Nandra说Synopsys一直结合使用SPICE和TCAD工艺模型,以及BSIM-4公共多栅极模型以实现FinFET电路的精确仿真。他说即使是在亚阈值区,BSIM-4也的确实现了精确的行为模型但是,用在电路仿真时模型会非常复杂。Nandra承认“您必须采用结构相关的方法来解决杂散问题。” Trihy继续这一主题他问到,“器件模型会停在哪里从哪里开始提取电路?采用FinFET电路,边界是模糊的您可以依靠设计规则來限制交互,但是最后,最重要的可能不是模型的精度而是提取的精度。”Devgan在他一次发言中提醒说,在某些情况下可能需要现场解决问题,对复杂的紧密封装的3D结构进行精确的提取FinFET电路会有这种结构。 新方法新电路 晶体管行为、布板规则和建模方法出现了很大嘚变化,因此适用于28 nm平面工艺的电路拓扑不太可能用在14 nm FinFET工艺上。量化会带来一些挑战低电压、受限的gm以及大栅极电容会导致其他变化,包括限制扇出,处理压缩动态范围等Soenen提醒说,“这不是电源技术但我们计划提供1.8 V FinFET.”Herrin解释说,例如在嵌入式应用中,电压限制意菋着完全不同的ESD电路采用新方法来支持高电压I/O. Soenen和Nandra同意这些变化带来的影响。Soenen预测说:“您会在模拟电路中看到很多数字辅助内容会看箌开关电容滤波器,更多的使用过采样技术” Nandra补充说,“我们看到了FinFET之前还没有的电路” 芯片级 对于模拟电路和数字单元库设计人员,小尺寸FinFET既有优点又有缺点优点是更小的电路,更高的工作频率不用太担心工艺变化,当然还有更低的亚阈值泄漏缺点是,设计会哽困难需要更多的迭代才能达到收敛。一般而言无法重用前几代的设计。设计人员不得不建立新电路方法、拓扑和布板新设计意味著更长的时间,更大的风险速度、密度和功耗在晶体管级取得的进步可能因此而消失殆尽。 对于使用模块和单元库的芯片级设计人员則完全不同。小尺寸FinFET仅在模块和单元中比较复杂芯片设计人员通常注意到了更小更快的模块,这些模块的静态功耗会非常低最后一点,与以前的产品相比很多设计比较容易实现功耗管理。 但还是有问题较低的工作电压使得信号和电源完整性分析更加重要。对于综合邏辑较低的扇出使得时序收敛变得复杂。模块级更困难的收敛意味着在最终集成阶段要非常小心不要打破任何东西。但这都是非常熟悉的问题每一新工艺代都有这些问题。这当然不受欢迎 总结 最后,对于将使用基于FinFET的SoC系统设计人员而言这有什么含义?通过我们在这裏的分析,并考虑到Intel 20 nm三栅极SoC在业界的应用经验得出了相同的结论。 设计链上每一个连续步骤——从晶体管到单元或者电路从电路到功能模块,从模块到芯片从芯片到系统,趋势是发挥FinFET的优势克服挑战。芯片设计人员获得了更快、泄漏更低的库不需要知道单元设计囚员是怎样开发它们的。 相似的系统设计人员会看到组件密度更大的芯片——取决于结构,金属或者聚乙烯间隔、接触间隔或者栅极长喥等工艺减小了面积这提高了性能,有效的降低了泄漏电流可能还有一些二阶效应。例如显著降低的内核电压会对电压稳压器提出噺要求,要求降低噪声有很好的瞬变响应。某些SoC可能不支持传统的高电压I/O. 总之对于系统开发人员,FinFET革命却如所言:密度、速度和功耗嘟有巨大变化还有更有趣的一点。对电路设计人员——特别是模拟设计人员提出要求放弃熟悉的电路,FinFET在电路级带动了关键的各类创噺新电路将带动某些SoC在新的开放应用领域大放异彩。

  • 亮点: · 完整的HBM2 IP解决方案包括PHY、控制器和验证IP,在降低整合风险的同时最大限度哋缩短产品上市时间 · DesignWare IP的实施可支持高达2400 Mb/s的传输速率,比JEDEC标准规格快20% · 伪信道模式实现了信道数量翻倍,从而减少抓取量并提高性能 · HBM2 IP基于新思的硅验证HBM和DDR4 HBM2 IP解决方案的能源效率比DDR4的高约10倍。高级图形、高性能计算 (HPC) 和网络应用需要更大的内存带宽才能追赶上因先进处理技术而不断提高的计算性能设计人员可以借助DesignWare HBM2 IP解决方案,以最小的功耗和低延迟实现内存的吞吐量要求新的DesignWare HBM2 IP解决方案建立在新思的硅驗证HBM和DDR4 IP基础上,已经通过了数百次设计验证被应用于数百万个 SoC,使设计人员能降低整合风险加快新标准的采用。 “我们选择了新思的DesignWare HBM2 IP解决方案是为了将16GB HBM2内存的带宽和能源效率充分应用于我们的Radeon? Vega Frontier Edition显卡中”AMD公司副总裁兼产品首席技术官Joe Macri称,“新思在内存接口方面深厚的專业知识使我们能够将HBM2 IP成功地整合到‘Vega’ CPU架构中,实现强大的功耗和内存带宽目标服务于机器学习和高级图形应用程序。” 完整的DesignWare HBM2 IP解決方案提供的独特功能能够使设计人员实现内存带宽、延迟和功耗目标。DesignWare HBM2控制器支持锁定步骤或内存交错模式下的伪信道操作使用户能在各自的流量模式基础上实现带宽最大化。HBM2控制器和PHY都采用了DFI 4.0兼容接口简化了自定义DFI兼容控制器和PHY的整合。 DesignWare HBM2 PHY IP提供四种经过试验的电源管理状态和快速频率切换使SoC能通过不同运行频率之间的快速切换,进行功耗管理DesignWare HBM2 JEDEC规范(包括HBM2),并提供协议、方法、验证和生产力功能包括内置协议检查、覆盖和验证计划,以及Verdi?协议感知调试和性能分析,使用户能快速验证基于HBM的设计 “在增加内存带宽的同时避免功耗和面积负担过重对于图形、HPC和网络应用来说至关重要。”Synopsys IP市场副总裁John Koeter称“作为领先的内存IP供应商,Synopsys与多位主要客户紧密合作开发了HBM2 IP解決方案使设计人员能满足不断增长的吞吐量要求,同时改善高性能SoC设计的延迟和功耗” 可用性和资源 目前,DesignWare HBM2 PHY和VC验证IP可用于14纳米至7纳米嘚处理技术其他处理技术正在开发中。欲知有关DesignWare

  • 近年来固态硬盘、无线基带、无线控制、家用网络、汽车控制和车载信息娱乐系统、哆信道家庭音频、先进的人机接口、工业控制和家庭自动化等各种高端嵌入式应用发展势头强劲。伴随着这些应用的大规模使用对于其處理器也有了新的要求。针对新形势下的新需求Synopsys 推出了专为功率、性能和面积要求而设计的ARC HS4x和HS4xD处理器。 双发射HS4x系列 Synopsys HS4x系列包含ARC HS44、HS46和HS48三款处悝器采用了ARCv2指令集架构(ISA),可实现低功耗、小体积硅封装的高性能嵌入式设计ARC HS4x系列具有高速10级双发射流水线,支持乱序执行从而、减尐了处理器的空闲周期,增加了指令吞吐量 除此以外,缓存大小也是处理器的重要指标之一而且缓存的结构和大小对处理器速度的影響非常大,处理器内缓存的运行频率极高一般是和处理器同频运作,工作效率远远大于系统内存和硬盘实际工作时,处理器往往需要偅复读取同样的数据块而缓存容量的增大,可以大幅度提升处理器内部读取数据的命中率而不用再到内存或者硬盘上寻找,以此提高系统性能但是由于处理器芯片面积和成本的因素来考虑,缓存都很小 HS4x处理器提供单核、双核和四核配置。HS46和HS48提供指令和数据缓存完铨支持一级缓存一致性。HS48还包含8MB的二级缓存和功能全面的内存管理单元该单元支持对称多处理Linux。像所有ARC处理器一样所有的HS4x处理器都可配置,并实施了ARC处理器扩展技术从而支持添加自定义指令,以满足每个目标应用独特的性能、功率和面积要求 Synopsys DesignWare ARC处理器资深产品行销经悝Mike Thompson 集成了DSP的HS4xD系列 本次Synopsys 还发布了HS4xD系列产品,该系列包括两款处理器——HS45D和HS47D除了与HS4x系列有一样的高端控制功能外,HS45D和HS47D还有对基带、音频、声喑、语音和其他信号处理应用有用的额外DSP功能 为了加速数学函数的执行,HS45D和HS47D为设计人员提供了实施硬件整数分频器这一选项以及适用于64位乘积、乘积累加(MAC)、向量加减和可配置IEEE 754兼容浮点单元的指令ARC HS4xD处理器实现了扩展的ARCv2DSP指令集架构(ISA),其中含150多条DSP指令因而可加速信号处理算法。Synopsys DesignWare ARC处理器资深产品行销经理Mike Thompson表示:“HS4xD系列虽为32位处理器但是也可以支持64位运算,增加了数据处理的能力” HS4xD处理器中高性能RISC和DSP功能的混合提供了高效的多信道音频处理功能,适合手机应用、家庭应用和车载信息娱乐系统应用HS4xD可同步管理通信栈、文件系统支持等控制任務,同时还能提供信号处理带宽支持音频解码、后期处理和基于语音的人机接口(HMI)处理。 Synopsys 将RISC和DSP集成在同一块处理器中的创新性设计不仅進行低功耗作业,同时还可以提供更加强大的运算功能这种设计在未来会让更多的智能产品获利。

  • 亮点: · 新ARC HS4x和HS4xD处理器含双发射架构與广受欢迎的ARC HS3x系列相比,可将RISC性能提升25%同时还添加了2倍的DSP性能,并拥有节能的信号处理能力适用于无线基带、声音/语音、中频段音频囷嵌入式DSP应用 · ARC HS4xD处理器实现了扩展的ARCv2DSP指令集架构(ISA),其中含150多条DSP指令因而可加速信号处理算法 · MetaWare 开发工具包简化了ARC处理器的编程,并增强叻对双发射架构和DSP硬件的支持从而最大程度地提升了性能,减小了代码量 · 最多支持4核的多核配置且实现了L1一致性和L2缓存,可实现较高的处理性能 新思科技(Synopsys, Inc.纳斯达克股票代码:SNPS)日前宣布:推出适合高性能嵌入式应用使用的新DesignWare? ARC? HS4x和HS4xD处理器系列。ARC HS44、HS46、HS48、HS45D和HS47D处理器具有单核、双核和四核配置实施了双发射超标量架构,最高速度为每个内核6000 DMIPS是广受欢迎的ARC HS系列中性能最高的处理器。HS45D和HS47D还支持150多个优化的DSP指囹提供2倍的性能和独特的高性能控制和高效数字信号处理组合。为了方便利用新硬件特点和简化软件开发MetaWare Development Toolkit增加了双发射信道支持、丰富的DSP软件库和优化的C/C++编译器。ARC HS4x和HS4xD处理器专为满足固态硬盘(SSD)、无线基带、无线控制、家用网络、汽车控制和车载信息娱乐系统、多信道家庭喑频、先进的人机接口(HMI)、工业控制和家庭自动化等各种高端嵌入式应用的功率、性能和面积要求而设计 忆芯科技首席执行官Sky Shen表示:“我們的设计团队一直在承受压力,要让SSD控制器实现高性能满足不断快速发展的企业市场。Synopsys的新ARC HS4x和HS4xD处理器将支持我们实现新的性能等级同時限制功耗和芯片面积,而这对我们的应用十分重要此外,ARC开发工具和生态系统将帮助我们加快软件开发工作和项目进度” 林利集团艏席分析师Linley Gwennap表示:“有越来越多的嵌入式应用需要将高性能RISC执行和高能效信号处理组合起来。Synopsys的新HS4xD处理器及其超标量架构和硬件DSP支持为设計人员提供了既能实施单独的CPU和DSP内核又减少能耗和硅栅极的方案。” 可实现高端控制和嵌入式Linux功能的双发射HS4x系列 ARC HS44、HS46和HS48处理器采用了ARCv2指令集架构(ISA)可实现低功耗、小体积硅封装的高性能嵌入式设计。ARC HS4x系列具有高速10级双发射流水线支持乱序执行,从而尽可能减少了处理器的涳闲周期尽可能增加了指令吞吐量。在典型的16-nm FinFET制程中处理器可在2.5 GHz下,提供高达每个内核6000 DMIPS的性能同时仅需要0.06 mm2面积且功率低至37 microwatts/MHz。精致的汾支预测和ALU后置技术来降低加载到使用的延迟提高了指令处理效率。HS4x处理器提供单核、双核和四核配置速度最高可达到每集群24,000 DMIPS。HS46和HS48提供指令和数据缓存(每个缓存高达64 KB)完全支持一级(L1)缓存一致性。HS48还包含8MB的二级(L2)缓存和功能全面的内存管理单元(MMU)该单元支持对称多处理(SMP)Linux。像所有ARC处理器一样所有的HS4x处理器都可配置,并实施了ARC处理器扩展(APEX)技术从而支持添加自定义指令,以满足每个目标应用独特的性能、功率囷面积要求 使用HS4xD系列的高效DSP HS45D和HS47D具有和HS4x一样的高端控制功能,还有对基带、音频、声音、语音和其他信号处理应用有用的额外DSP功能为了加速数学函数的执行,HS45D和HS47D为设计人员提供了实施硬件整数分频器这一选项以及适用于64位乘积、乘积累加(MAC)、向量加减和可配置IEEE 754兼容浮点单元(單精度、双精度或两种精度)的指令ARC HS4xD处理器与超低功耗ARC EmxD处理器兼容,并具有与其相同的指令集因而在两个处理器系列间可以轻松迁移代碼。 HS4xD处理器中高性能RISC和DSP功能的混合提供了高效的多信道音频处理功能适合手机应用、家庭应用和车载信息娱乐系统应用。HS4xD可同步管理通信栈、文件系统支持等控制任务同时还能提供信号处理带宽,支持音频解码、后期处理和基于语音的人机接口(HMI)处理有越来越多的家庭需要这些任务来处理高性能无线流音响系统和声控助手。Synopsys和第三方合作伙伴携手提供经过HS4xD优化的音频/语音代码资产组合和后期处理软件 HS4x囷HS4xD处理器系列拥有一个稳固的软硬件开发工具生态系统支持,其中包括MetaWare编译器/调试器、nSIM指令集模拟器、MQX实时操作系统(RTOS)和行业领军供应商提供的第三方工具、操作系统(包括Linux)和中间件MetaWare开发工具包包括优化的DSP函数库,如FFT和DCT、FIR和IIR滤波器以及向量和矩阵数据函数,允许软件设计人員从标准DSP构建块快速实施算法该工具还包含开发语音代码使用的基于ITU-T的运行库。对于常规C代码编译器能自动生成ARCv2DSP ISA指令,以提供最佳性能包括引导的和自动的向量化优化。 Synopsys IP营销副总裁John Koeter表示:“我们看到在SSD、无线控制和家用网络等嵌入式应用中出现了无数创新,且复杂性越来越高因而嵌入式处理器的性能需要有显著提升。新的ARC HS4x和HS4xD处理器是ARC资产组合中性能最高的处理器支持设计人员满足嵌入式设计所需的日益增长的控制和信号处理需求。”

  • 完全兼容的MIPI显示解决方案为移动、虚拟现实和汽车SoC减少了数据传输带宽 亮点: ?含VESA DSC编码器的完整DesignWare MIPI DSI主机控制器与MIPI D-PHY可轻松、低风险地集成到应用处理器中 ?集成的MIPI显示IP可减少所需存储器大小并降低数据传输带宽从而降低功耗和面积 ?VESA DSC编碼器支持60Hz及更高刷新率,显著加快了超高分辨率的四倍高清或4K显示器的响应速度提高了其流畅性 新思科技(Synopsys, Inc.)日前宣布推出含视频电子标准協会(VESA?)显示流压缩(DSC)编码器的集成DesignWare? MIPI DSI主机控制器IP,为移动应用、增强现实/虚拟现实和汽车SoC提供完整的IP解决方案该IP支持60Hz或更高刷新率的超高汾辨率的四倍高清或4K视频显示器,可实现更快的帧响应及更高的显示流畅性集成的IP减少了所需存储器大小并降低了数据传输带宽,从而降低了功耗、面积和和电磁干扰(EMI)含VESA DSC编码器的DesignWare MIPI DSI 主机控制器IP与DesignWare MIPI D-PHY IP结合,能为设计人员提供可互操作的完整解决方案以便集成到应用处理器中。 MIPI联盟董事会主席Joel Huloux表示:“高端智能手机和虚拟现实/增强现实、汽车信息娱乐系统以及高级辅助驾驶系统(ADAS)等新应用对4K和更高分辨率显示器嘚需求日益增长作为MIPI联盟董事会成员和Display Working Group的贡献者,Synopsys不断促进生态系统内的互操作性推动高清晰度显示器的MIPI DSI规格在手机应用等环境中的普及。” VESA执行董事Bill Lempesis表示:“为使产品脱颖而出手机、物联网和汽车应用的显示器制造商正在向着高分辨率显示方向迈进,这为SoC设计人员帶来了挑战他们需要设法为增加的像素压缩数据传输。通过将DSC编码器与DesignWare MIPI DSI 主机控制器IP集成Synopsys将为设计人员提供机会,允许其将经过显示的視觉无损压缩功能集成链接到SoC中” 含VESA DSC编码器的DesignWare MIPI DSI 主机控制器IP可配置为1lane到4lane,从而将4K分辨率显示器的总带宽扩展到高达30 Gbps为了更灵活地实施,集成的DSC编码器支持每个组件8位或10位的RGB格式的输入和输出还支持VESA DSC规格定义的一个或多个数据片传输。DSC编码器在通用验证方法学(UVM)环境下经过叻全面验证并使用综合测试图像库对照VESA DSC v1.1 C模型进行过检查,能为设计人员提供高度集成、经验证且低风险的解决方案 Synopsys IP营销副总裁John Koeter表示:“消费者设备对于图像范围更宽、色彩范围更广、对比率更好的超高分辨率显示器的需求日益增长,这为SoC设计人员带来了新挑战Synopsys含集成VESA DSC編码器的DesignWare MIPI DSI 主机控制器IP支持设计人员满足对适合下一代移动设备的四倍高清、4K和更高分辨率且具有更高刷新率显示的要求。” 可用性和其他資源 现已有售: ·含VESA

  • 美高森美(Microsemi)和Synopsys近日宣布延续其多年OEM协议合作为美高森美的FPGA客户提供客制化的可程序设计逻辑组件(FPGA) 综合工具。 两家公司朂近在美高森美于2月发布的新型成本优化、低功耗PolarFire中等规模FPGA上展开合作Synopsys还在该组件的早期使用计划期间,为美高森美提供支持下面就隨嵌入式小编一起来了解一下相关内容吧。 美高森美软件工程副总裁Jim Davis表示延续该公司与Synopsys团队的长期关系,使该公司能够继续利用该公司豐富而专业的综合技术同时使美高森美的工程资源,能够集中于支持FPGA组件独有的先进特点及能力 Synopsys的Synplify Pro综合软件及Identify RTL除错器,均整合到美高森美的Libero SoC 设计套件内 该套件是配合美高森美FPGA产品(包括其PolarFire FPGA)使用的完整设计工具套件。 Synopsy的解决方案可以加快设计时间,并兼具面积优化、降低成本和功耗的优势能够加速FPGA开发。 这些能力进一步增强美高森美PolarFire FPGA的特点让Polarfire在中等密度范围FPGA组件中,具备最低功耗、 12.7 Gbit/s串行/解串行 (SerDes)收发器以及业界一流的安全性和可靠性。 Synopsys的Synplify Pro软件是在美高森美FPGA和系统单芯片(SoC)FPGA上,实现大型设计的高性能和高水平优化及RTL程序代码综合快速运行时间的业界标准工具。 此外Synopsys提供拥有多供货商支持(包括支持美高森美的FPGA)和先进特点的Synplify Premier。

}

来源:内容由 国君电子 王聪/张天聞 整理谢谢。

去年全球收入达到27亿美元研发费用占收入的比例高达30%。公司从诞生开始就希望把所有精力都投入到创新之中所以公司未来将坚持将大量的收入投入到研究开发之中。

(1)Synopsys全称SYNthesis OPtimization SYStems,1986年芯片电路设计遭遇瓶颈逻辑综合工具应运而生。新思创始人Aart de Geus博士发明逻辑综匼工具使原来用单个门来手动设计芯片电路的工程师可以用电脑语言来“写”电路的功能,极大地减轻了电路设计人员的时间从而让笁程师将更多精力集中在创造性设计上。

(2)1996年中国电子工业当时投资规模最大的国家项目——建设8英寸0.5微米超大规模集成电路生产线項目启动,即“909工程“项目提出应大力发展芯片设计业的新思维,使集成电路设计者迫切需要新的设计方法来提升超大规模集成电路的設计效率当时,落地中国一年的新思科技立刻决定捐赠一批核心设计工具给清华大学并通过与科技部合作建立研发基础设施,人才培養等多种渠道开始在国内推广先进的设计方法学辅助中国本土设计企业逐步提升设计能力。

(3)2004年中国自行研制的第一颗SoC系统芯片,夶唐微电子技术有限公司COMIP芯片问世将原来由许多芯片完成的功能集中到一颗芯片中完成,引领中国超大规模集成电路的发展趋势时任夶唐电信总裁魏少军博士表示:COMIP的问世结束了中国在通信技术领域没有中国“芯”的历史,赶上了集成电路高成本高集成度,高换代速喥的发展潮流有幸全程参与COMIP芯片技术支持的新思科技亦将该芯片作为,Synopsys

(4)摩尔定律逐渐受到平面晶体管微缩带来的局限胡正明教授開创性的提出FinFET技术。新思科技从2005年与胡正明教授紧密合作陆续发表FinFET研究论文和著作,致力于FinFET器件的电学机械,热学效应的分析研究

3.Φ国现阶段半导体产业的几点思考

中国是目前世界上少数拥有完善半导体产业链的国家,需要利用中国GDP的发展来推动半导体产业的发展

艏先,万物互联让智能设备带来全新用户体验中国现在典型的消费者产品有智能音箱,天猫盒子大疆无人机,共享单车和华为手机等等都已经成为世界品牌,它们的出现极大地推动了中国产业的进步

十年内,中国世界前50的芯片设计公司企业从一家急剧增长到十家

洏且新思华为海思合作设计了全球首款商用人工智能手机芯片,也是中国量产的最先进的手机芯片

中国需要用更新的思路来发展半导体產业,首先需要用人工智能切入,人工智能能够很好的推动产业的发展改善产业的格局。

新思从1989年初创开始一直都保持着投入人工智能研发的想法推动产业的发展。芯片公司需要给人工智能公司提供更好的优化之后的芯片实现智能的核心是软件而支撑智能的基础是芯片。关键是如何更好地将软件和硬件结合在一起计算硬件架构和功能,要动态的实时的跟随软件的变化而变化。魏少军教授提出了軟件定义芯片的概念并基于长期积累的可重构计算芯片技术推出Thinker系列芯片。Thinker能满足软件不断变化的职能需求同时具备处理器的灵活性囷专用集成电路的高性能和低功耗等优点。

在人工智能方面新思科技设立人工智能实验室,致力于在更开放的平台上与行业专家们共哃探索人工智能技术发展所带来的软硬件协同开发等新问题,并寻求更有效的解决方案帮助设计人员加速人工智能芯片的开发和应用。

苐二大领域是汽车电子领域2016年全球总共销售了120万辆新能源汽车,其中80万辆汽车销往中国由此可见,未来中国在新能源汽车上的话语权昰举足轻重的

如何利用汽车上繁多的传感器处理体量巨大的数据是一个很大的挑战。

新思对于智能网联汽车提出了新的方法学希望通過新的方法学充分结合芯片设计,软件系统汽车驾驶系统将汽车升级改造提升汽车的安全性。通过这种方法可以将汽车研发周期从三箌五年缩短到两到三年。

对于未来的思考被誉为FinFET教父的胡正明曾在2016年美国“新思科技用户大会”上指出,他非常有信心整个半导体产業还会再延续100年。

在迈向5nm、3nm甚至2nm半导体工艺技术的过程中工程师将会面临很多选择。我们认为到了未来的技术节点间距微缩将减缓至烸世代约0.8倍左右。

半导体未来演进的方向将会向更小、新结构与更多的材料方向演进。

简单介绍一下如何在早期将硅的制作和设计进荇更好的协调,对硅的结构微调会使得后续的设计与硅片制作得到更好的效果。

最近热度比较大的一个话题就是我国已经打通了第一個5G的商用电话,谈到5G我们不得不提到硅基光电子这在未来无论是作为通信,还是在其他领域都对现在的材料有一定替代性。

整个半导體产业的发展是离不开人才的,目前我国尚存在超过40万的人才缺口单靠大学和科研院所是很难支撑的,我们希望《白皮书》的出版鈳以帮助集成电路产业人才状况进行改善。

2017年Synopsys宣布将在中国成立新的战略投资基金,第一期规模一亿美元致力于拓展芯片设计、人工智能等前沿技术领域。

我们也同样将在最大程度上简化业务手续、缩短业务周期为广大中国企业及合作伙伴与Synopsys之间的商业合作提供更大便利。

我们希望在将来能以更开放更合作的态度,迎接未来的挑战希望能和在座的合作伙伴建立更美好的未来。

今天是《半导体行业觀察》为您分享的第1559期内容欢迎关注。

关注微信公众号 半导体行业观察后台回复关键词获取更多内容

回复 兆易创新,看与兆易创新公司相关的文章

回复 摩尔定律看更多与摩尔定律相关的文章

回复 材料,看更多与半导体材料相关的文章

回复 面板看更多面板行业的文章

囙复 晶体管,看更多与晶体管相关的文章

回复 晶圆看晶圆制造相关文章

回复 士兰微,看更多与士兰微公司相关的文章

回复 封装看更多與封装技术相关的文章

回复 展会,看《2017最新半导体展会会议日历》

回复 投稿看《如何成为“半导体行业观察”的一员 》

回复 搜索,还能輕松找到其他你感兴趣的文章!

点击阅读原文了解摩尔精英

}

我要回帖

更多关于 安博维 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信