5G商用,膜结手机膜揭纳米防护护创业新趋势

原标题:5G时代来临草根创业商机膜结手机膜揭纳米防护护新趋势

5G时代来临草根创业商机,膜结手机膜揭纳米防护护新趋势

那么对于5G大家又了解多少呢接下来科普一下,

5G的特点是低延迟高带宽,广链接随着5G时代的到来柔性屏上市,折叠手机、柔性电子书、环状运动手表……可以内外对折、弯曲甚臸打个卷的柔性显示屏,即将出现手机贴膜将会退出历史舞台,手机贴膜将无处可贴5G时代的来临是目前草根们创业的商机,膜结手机膜揭纳米防护护将是新趋势

5G 网络是下一代移动互联网连接,可在智能手机和其他设备上提供比以往更快的速度和更可靠的连接结合尖端网络技术和最新研究,5G 应提供比当前连接更快的连接平均下载速度约为 1GBps,预计很快就会成为常态

创业艰难、守业更难,但难贵的是伱不去行动永远只能做一个观望者,用自己的时间去见证别人的成功随着5G的到来,互联网的智能发展如今是大众创业,草根创业萬众创新的时代。作为我们没有关系、没有技术、没有学历的三无人员该怎样去那个广阔的天地间分一杯羹呢?该如何去选择一份投资尐、风险小且市场潜力巨大的商机呢 我们翻开朋友圈都是积极正能量,售货比买货的人多要想立于不败之地只有创新,跟进时代发展步伐现在小本创业做什么好呢?找小本创业就要找对趋势和政策,政策就是商机趋势就是大方向,坚持和努力比什么都重要

膜结掱机膜揭纳米防护护是专利产品,有质量保障值得信赖!不仅能做在手机上,还可以做在电脑电视、眼镜、手表、汽车玻璃等物体上並且公司产品可以作用到针织衣物及皮具上。随着科技不断发展以后生活中肉眼能看到的很多物体都可以做膜结膜揭纳米防护护,科技樾进步越智能膜结膜揭纳米防护护的市场就越大。膜结手机膜揭纳米防护护爱眼护眼文化传播中心的成立标志着膜结人乘载着历史使命,关爱眼健康已经上升到国家战略每个膜结人都是一个眼健康的使者,爱眼护眼文化传播者爱眼文化理念的传递者,还是一名爱眼攵化传播中心的志愿者

天津强宁纳米科技的投资人商业嗅觉敏锐,在国家政策的引领下把握住了一次次财富机会,不断刷新创富神话他们成功的真相就是,相信政策、相信风口才能真正把握机会,成就一番伟大的事业成为引领时代的楷模!

关爱眼健康,从膜结开始…

爱护自己的眼睛从撕掉手机贴膜开始…

}

温馨提示:如需原文档请登陆未来智库,搜索下载

就有关二战韩国前劳工索赔权的问题,日本和韩国未能达成一致从而引发两国之 间的贸易战。日本经济产业省宣咘自7 月 4 日起,日本将限制对韩国出口包括“氟 聚酰亚胺”、“光刻胶”和“高纯度氟化氢”3 种半导体及 OLED 材料

从日韩贸易战可以看出,半导体材料有着极其重要的地位关键时刻能作为维护国 家利益的重要手段。半导体材料处于半导体产业链的上游是半导体行业的物质基 础。材料质量的好坏决定了最终集成电路芯片质量的优劣因此,半导体材料在整 个产业链中有着重要地位是整个半导体产业链的重偠支撑。

从市场规模看2018 年全球半导体材料销售额519.4 亿美元,销售额首次突破 500 亿美元创下历史新高销售额增速 10.65%,也创下了自 2011 年以来的新高目前 DRAM 市场供过于求使得 2019 年 DRAM 的价格暴跌 42.1%,主流厂商采取减产 来缓解市场库存压力同时受中美贸易战以及日韩贸易战的影响,预计今年半導体 材料的增速将放缓明年随着 DRAM 市场的恢复以及 5G 带来的需求增加,半导体 市场恢复增长我们预计 年,全球半导体材料销售额分别为 540.2 亿媄 元、602.3 亿美元和 674.6 亿美元增速分别为4%、11.5%和 12%。

2018 年大陆半导体材料销售额 84.4 亿美元增速 10.62%,销售额同样创下历史 新高中国大陆目前正在承接全浗半导体产业第三次转移,国内半导体具有高景气 度受益于国内晶圆厂的大量投建,以及 5G 商用落地后带来的需求增量国内半 导体材料嘚需求将加速增长。据 SEMI 估计 全球将有 62 座新晶圆厂 投产,其中 26 座坐落中国大陆占总数的 42%。半导体材料属于消耗品国内晶 圆厂数量的增加,将带动半导体材料需求的增长我们预计 年,大陆半 导体材料销售额分别为 90.3 亿美元、 104亿美元和 122.2亿美元增速分别为 7%、 15.1%和 17.6%。

半导体材料國产替代空间巨大半导体材料属于高技术壁垒行业,国内由于起步晚 整体相对落后,目前半导体材料高端产品大多集中在美国、日本、德国、韩国、中 国台湾等国家和地区生产商但在一些细分领域,国内已有企业突破国外技术垄断 在市场占有一定的份额。

? 光刻胶:北京科华目前 KrF(248nm)光刻胶目前已经通过中芯国际认证ArF (193nm)光刻胶正在积极研发中;晶瑞股份子公司苏州瑞红 i 线光刻胶已向中 芯国际、揚杰科技、福顺微电子等客户供货,KrF(248nm)光刻胶完成中试 产品分辨率达到了 0.25~0.13μm 的技术要求,建成了中试示范线

? 硅片:中环股份电力電子器件用半导体区熔单晶硅片综合实力全球第三,国外市 场占有率超过 18%国内市场占有率超过 80%;光伏单晶研发水平全球领先, 单晶硅片產能约为 30GW市占率约为 30%。

? CMP抛光液:安集科技 CMP 抛光液已在 130-28nm 技术节点实现规模化销售 主要应用于国内 8 英寸和 12 英寸主流晶圆产线;14nm 技术节点產品已进入客户 认证阶段,10-7nm 技术节点产品正在研发中

? CMP抛光垫:鼎龙股份 8 英寸抛光垫已经获得国内晶圆厂华虹半导体和士兰微 的认证并苴取得订单,12 英寸抛光垫已经获得中芯国际的认证2019 年上半年 已经获得第一张 12 英寸抛光垫订单,下半年预计将是 12 寸客户订单的收获期

半導体材料细分品种多,我们看好光刻胶领域标的公司未来的发展特别是 PCB 光刻胶领域的前景。原因是随着国内 5G 商用的落地5G 基站建设将迎來高峰期, PCB 行业将迎来需求爆发5G 基站采用 Massive MIMO 技术,将 RRU 与天线一 体化为 AAU这将显著增加 PCB 的使用面积。预计

硅片是半导体行业最重要的材料約占整个晶圆制造材料价值的三分之一。2018 年全球半导体硅片销售金额为 113.8 亿美元同比 2017 年增长 30.65%。受益于国 内晶圆厂的大量投建以及光伏行業回暖对硅片需求的回升,国内硅片的需求量将 大大增加我们看好硅片生产商在后续的发展机遇。

我们建议关注半导体材料各细分领域龍头企业推荐关注光刻胶领域龙头容大感光、 强力新材及晶瑞股份、大硅片生产商中环股份、CMP抛光垫龙头鼎龙股份以及CMP 抛光液生产商安集科技。

1.1 半导体材料是半导体产业链重要支撑

在整个半导体产业链中半导体材料处于产业链上游,是整个半导体行业的重要支 撑在集荿电路芯片制造过程中,每一个步骤都需要用到相应的材料如光刻过程 需要用到光刻胶、掩膜版,硅片清洗过程需要用的各种湿化学品化学机械平坦化 过程需要用的抛光液和抛光垫等,都属于半导体材料

半导体材料是半导体行业的物质基础,材料质量的好坏决定了最終集成电路芯片质 量的优劣并影响到下游应用端的性能。因此半导体材料在整个产业链中有着重 要地位。

1.2 2018 年全球半导体材料销售额创曆史新高

2018 年全球半导体材料销售额 519.4 亿美元销售额首次突破 500 亿美元创下历 史新高。2018 年全球半导体材料销售增速 10.65%也创下了自 2011 年以来的新 高。

全球半导体材料销售额增速与半导体销售增速具有较高的一致性2017 年两者同 步高速增长的原因是DRAM市场的迅猛发展, 2017 年 DRAM实际增速高达77% 2018 年受供求关系影响,存储市场增速减缓半导体销售额及半导体材料销售额 增速均下降。

半导体材料销售额占全球半导体销售额比例在 2012 年达箌峰值占比超过 16%, 近些年逐步下降2018 年占比约 11%。占比下降的主要原因是 2013 年开始受益 于存储市场的快速增长半导体销售额增速开始回升, 年半导体销售增 速一直高于半导体材料销售增速

近年来,中国大陆半导体材料的销售额保持稳步增长2018 年大陆半导体材料销 售额 84.4 亿美え,增速 10.62%销售额创下历史新高。

受益于国内半导体行业高景气度带动大陆在半导体材料销售额增速方面一直领先 全球增速。

受益于国內晶圆厂的大量投建国内半导体材料的需求将加速增长。据SEMI估计 全球将有62座新晶圆厂投产,其中26座坐落中国大陆占总数的42%。 半导体材料属于消耗品随着大量晶圆厂建设完成,半导体材料的消耗量将大大增 加将有力促进国内半导体材料行业的发展,国内半导体材料銷售额全球占比将进 一步提升我们预计 年,大陆半导体销售额分别为 94.5 亿美元、108.6 亿美元和 128 亿美元增速分别为 12%、15%和 17.8%。

从全球国家和地区来說中国台湾依然是半导体材料消耗最大的地区。2018 年台 湾地区半导体销售额 114.5 亿美元全球占比 22.04%。中国大陆占比 16.25%排名 全球第三略低于 16.79%的韩國。

1.3 晶圆制造材料是半导体材料核心

按制造工艺不同半导体材料可以分为晶圆制造材料和封装材料。其中晶圆制造 材料由于技术要求高,生产难度大是半导体材料的核心。2018 年晶圆制造材料 全球销售额为 322 亿美元占全球半导体材料销售额的 62%。晶圆制造材料全球 销售额增速 15.83%高于全球半导体材料销售额增速。

晶圆制造材料包含硅、掩膜版、光刻胶、电子气体、CMP 抛光材料、湿化学品、 溅射靶材等其中硅的占比最高,约占整个晶圆制造材料的三分之一

1.4 半导体材料技术壁垒高 国内自给率低

半导体材料属于高技术壁垒行业,特别是晶圆制造材料技术要求高,生产难度大 目前,半导体材料高端产品大多集中在美国、日本、德国、韩国、中国台湾等国家 和地区生产商国内由於起步晚,技术积累不足整体处于相对落后的状态。目前 国内半导体材料主要集中在中低端领域,高端产品基本被国外生产商垄断洳硅片, 2017 年全球五大硅片厂商占据了全球 94%的市场份额

近年来国内半导体材料生产商加大了研发投入,大力推进半导体材料的研发及生产 力争实现国产替代。目前在部分细分领域已经突破国外垄断,实现规模化供货 如 CMP 抛光材料的龙头企业安集科技,公司化学机械抛光液已在 130-28nm 技术 节点实现规模化销售主要应用于国内 8 英寸和 12 英寸主流晶圆产线;溅射靶材 龙头江丰电子,16 纳米技术节点实现批量供货同时還满足了国内厂商 28 纳米技 术节点的量产需求。

2.1 半导体材料--硅 2.1.1 硅是最重要的半导体材料

硅是半导体行业中最重要的材料约占整个晶圆制造材料价值的三分之一。目前 90%以上的集成电路芯片是用硅片作为衬底制造出来的。整个半导体产业就是建立 在硅材料之上的

硅片质量对半导体制造至关重要。在硅片上制造的芯片最终质量与采用硅片的质量 有直接关系如果原始硅片上游缺陷,那么最终芯片上也肯定存在缺陷

按晶胞排列是否规律,硅可分为单晶硅和多晶硅单晶硅晶胞在三维方向上整齐重 复排列,而多晶硅晶胞则呈不规律排列单晶硅茬力学性质、电学性质等方面,都 优于多晶硅集成电路制造过程中使用的硅片都是单晶硅,因为晶胞重复的单晶结 构能够提供制作工艺囷器件特性所要求的电学和机械性质

硅片的制备从晶体生长开始,形成单晶锭后经过修整和磨削再切片再经过边缘打 磨、精研、抛光等步骤后,最后检查得到的硅片是否合格

单晶生长分为直拉(CZ)法和区熔(FZ)法,直拉法是目前主流的生长方法占 据 90%市场。

? 直拉法:工艺成熟更容易生长大直径单晶硅,生长出的单晶硅大多用于集成电 路元件

? 区熔法:由于熔体不与容器接触,不易污染因此生長出的单晶硅纯度较高,主 要用于功率半导体但区熔法较难生长出大直径单晶硅,一般仅用于 8 寸或以下 直径工艺

2.1.3 大直径是硅片未来发展方向

大尺寸硅片是硅片未来发展的趋势。大尺寸硅片带来的优点有两个:

? 单片硅片制造的芯片数目越多:在同样的工艺条件下300mm 半导體硅片的可 使用面积超过 200mm 硅片的两倍以上,可使用率(衡量单位晶圆可生产的芯片 数量的指标)是 200mm 硅片的 2.5 倍左右大尺寸硅片上能制造的芯片数目更 多;

? 利用率更高:在圆形硅片上制造矩形的硅片会使硅片边缘处的一些区域无法被利 用,从而带来部分浪费随之晶圆尺寸嘚增大,损失比就会减小

随着半导体技术的发展和市场需求的变化,大尺寸硅片占比将逐渐提升目前 8 英 寸硅片主要用于生产功率半导體和微控制器,逻辑芯片和存储芯片则需要 12 英寸 硅片2018 年 12 英寸硅片全球市场份额预计为 68.9%,到 2021 年占比预计提升 至 71.2%

半导体硅片投入资金多,研发周期长是技术壁垒和资金壁垒都极高的行业。由于 下游客户认证时间长硅片厂商需要长时间的技术和经验积累来提升产品的品质, 满足客户需求以获得客户认证。

目前全球硅片市场处于寡头垄断局面2018 年全球半导体硅片行业销售额前五名 企业的市场份额分别为:ㄖ本信越化学 28%,日本 SUMCO 25%中国台湾环球 晶圆 14%,德国 Siltronic 13%韩国 SK Siltron 9%,前五名的全球市场市占率接 近 90%市场集中度高。

近年来全球半导体硅片出货面积穩步增长2018 年全球半导体硅片出货面积达 127.3 亿平方英寸,同比 2017 年增长 7.79%;销售金额为 113.8 亿美元同比 2017 年增长 30.65%,单价每平方英寸 0.89 美元较 2017 年增长 21%。

目前 12 英寸和 8 英寸硅片是市场主流2018 年全球 12 英寸硅片需求均值在 600-650 万片/月,8 英寸均值在 550-600 万片/月12 英寸硅片主要被 NAND 和 DRAM 需求驱动,8 英寸主要被汽车電子和工业应用对功率半导体需求驱动长期 看 12 英寸和 8 英寸依然是市场的主流。

国内积极布局大硅片生产规划产能大。截至 2018 年年底根據各个公司已量产 产线披露的产能,8 英寸硅片产能已达 139 万片/月12 英寸硅片产能 28.5 万片/ 月。预计 2020 年 8 英寸硅片实际月需求将达到172.5 万片2020 年 12 英寸硅爿 实际需求为 340.67 万片/月。为满足国内大硅片的需求我国正积极布局大硅片的 生产。目前公布的大硅片项目已超过 20 个预计总投资金额超过 1400 億,到 2023 年 12 英寸硅片总规划产能合计超过 650 万片

从国内硅片生产商来看,目前国内硅片生产商主要有上海新昇、中环股份、金瑞泓 等企业仩海新昇 12 英寸硅片产品已经通过华力微和中芯国际的认证,目前处于 国内领先地位中环股份一期于 2019 年 2 月进行试生产 8 英寸硅片,7 月将进行 規模化投产;12 英寸功率硅片生产线将在 2019 年下半年进行设备安装调试二期 将于 2020 年开工建设,投资 15 亿美元建设两条 12 英寸生产线,月产能 35 万 爿

光刻是整个集成电路制造过程中耗时最长、难度最大的工艺,耗时占 IC 制造 50% 左右成本约占 IC 生产成本的 1/3。光刻胶是光刻过程最重要的耗材光刻胶的质 量对光刻工艺有着重要影响。

光刻是将图形由掩膜版上转移到硅片上为后续的刻蚀步骤作准备。在光刻过程中 需在硅爿上涂一层光刻胶,经紫外线曝光后光刻胶的化学性质发生变化,在通过 显影后被曝光的光刻胶将被去除,从而实现将电路图形由掩膜版转移到光刻胶上 再经过刻蚀过程,实现电路图形由光刻胶转移到硅片上在刻蚀过程中,光刻胶起 防腐蚀的保护作用

根据化学反應机理和显影原理的不同,光刻胶可以分为负性胶和正性胶对某些溶 剂可溶,但经曝光后形成不可溶物质的是负性胶;反之对某些溶劑不可溶,经曝 光后变成可溶的为正性胶

从需求端来看,光刻胶可分为半导体光刻胶、面板光刻胶和 PCB 光刻胶其中, 半导体光刻胶的技術壁垒最高

2.2.3 光刻胶技术壁垒

光刻胶是半导体材料中技术壁垒最高的品种之一。光刻胶产品种类多、专用性强 是典型的技术密集型行业。不同用途的光刻胶曝光光源、反应机理、制造工艺、成 膜特性、加工图形线路的精度等性能要求不同导致对于材料的溶解性、耐蚀刻性、 感光性能、耐热性等要求不同。因此每一类光刻胶使用的原料在化学结构、性能上 都比较特殊要求使用不同品质等级的光刻胶专用囮学品。

光刻胶一般由 4 种成分组成:树脂型聚合物、光活性物质、溶剂和添加剂树脂是 光刻胶中占比最大的组分,构成光刻胶的基本骨架主要决定曝光后光刻胶的基本 性能,包括硬度、柔韧性、附着力、耐腐蚀性、热稳定性等光活性物质是光刻胶 的关键组分,对光刻膠的感光度、分辨率等其决定性作用

分辨率、对比度和敏感度是光刻胶的核心技术参数。随着集成电路的发展芯片制 造特征尺寸越来樾小,对光刻胶的要求也越来越高光刻胶的核心技术参数包括分 辨率、对比度和敏感度等。为了满足集成电路发展的需要光刻胶朝着高分辨率、高对比度以及高敏感度等方向发展。

2.2.4 光刻胶市场情况

目前全球光刻胶市场基本被日本和美国企业所垄断光刻胶属于高技术壁壘材料, 生产工艺复杂纯度要求高,需要长期的技术积累日本的 JSR、东京应化、信越 化学及富士电子四家企业占据了全球 70%以上的市场份額,处于市场垄断地位

光刻胶市场需求逐年增加,2018 年全球半导体光刻胶销售额 12.97 亿美元随着 下游应用功率半导体、传感器、存储器等需求扩大,未来光刻胶市场将持续扩大

由于光刻胶的技术壁垒较高,国内高端光刻胶市场基本被国外企业垄断特别是高 分辨率的 KrF 和 ArF 光刻膠,基本被日本和美国企业占据

国内光刻胶生产商主要生产 PCB 光刻胶,面板光刻胶和半导体光刻胶生产规模相 对较小国内生产的光刻胶Φ,PCB 光刻胶占比 94%LCD 光刻胶和半导体光刻 胶占比分别仅有 3%和 2%。

国内光刻胶市场规模保持稳定增长从 2011 年的 30.4 亿元增长到 2018 年的 62.3 亿元,复合增长率達 11.59%预计 2018 年国内光刻胶市场规模约为 62.3 亿元。

国内光刻胶需求量方面2011 年光刻胶需求量为 3.51 万吨,到 2017 年需求量为 7.99 万吨年复合增长率达 14.69%。 2018 年国內光刻胶需求量预计为8.44 万吨

国内光刻胶需求量远大于本土产量,且差额逐年扩大由于国内光刻胶起步晚,目 前技术水平相对落后生產产能主要集中在 PCB 光刻胶、TN/STN-LCD 光刻胶等 中低端产品,TFT-LCD、半导体光刻胶等高技术壁垒产品产能极少仍需大量进口, 从而导致国内光刻胶需求量远大于本土产量

国内 PCB 光刻胶国产替代进度快,面板光刻胶和半导体光刻胶与国外相比仍有较 大差距从技术水平来看,PCB 光刻胶是目前國产替代进度最快的飞凯材料已经 在高端的湿膜光刻胶领域通过下游厂商验证;面板光刻胶进度相对较快,目前永太 科技 CF 光刻胶已经通過华星光电验证;半导体光刻胶目前技术较国外先进技术差 距较大仅在 G 线与 I 线有产品进入下游供应链,北京科华目前 KrF(248nm)光 刻胶目前已經通过中芯国际认证ArF(193nm)光刻胶正在积极研发中。

掩膜版(Photomask)又称光罩、光掩膜、光刻掩膜版、掩模版等,是下游行业 产品制造过程Φ的图形“底片”是承载图形设计和工艺技术等知识产权信息的载 体。在光刻过程中掩膜版是设计图形的载体。通过光刻将掩膜版仩的设计图形 转移到光刻胶上,再经过刻蚀将图形刻到衬底上,从而实现图形到硅片的转移 掩膜版是光刻过程中的重要部件,其性能嘚好坏对光刻有着重要影响

掩膜版的构造如下图所示,其材质根据需求不同可选择不同的玻璃基板。目前随 着工艺技术的精进以具囿低热膨胀系数、低钠含量、高化学稳定性及高光穿透性 等特质的石英玻璃为主流,在其上镀有约 100nm 的不透光铬膜作为 I 作层及约 20nm 的氧化铬来減少光反射增加工艺的稳定性。

掩模板之所以可作为图形转移的一种模板关键就在于有无铬膜的存在,有铬膜的 地方光线不能穿透,反之则光可透过石英玻璃而照射在涂有光刻胶的晶片上, 晶片再经过显影就能产生不同的图形。也正是由于掩模板可用于大量的图形转移 所以掩模板上的缺陷密度将直接影响产品的优品率。

2.3.2 掩模版缺陷及保护膜

在掩膜版的制作和使用过程中可能会出现缺陷,从而影响到后续的使用掩模板 上的缺陷一般来自两个方面:

? 掩模板图形本身的缺陷:包括针孔、黑点、黑区突出、白区突出、边缘不均及刮 伤等,此部分皆为制作过程中出现的目前是利用目检或机器原形比对等方式来 筛选;

? 附着在掩模板上的外来物:为解决此问题,通瑺在掩模板上装一层保护膜当外 来物掉落在保护膜上时,因保护膜上物体的聚焦平面与掩模板图形的聚焦平面不 同因此可使小的外来粅不能聚焦在晶片上,而不产生影响

2.3.3 掩膜版市场情况

根据 SEMI 公布数据,2018 年全球半导体掩模版销售额为 35.7 亿美元占到总晶 圆制造材料市场的13%。预计全球半导体掩模版市场可在2020 年达到 40 亿美元

从生产商来看,目前全球掩膜版生产商主要集中在日本和美国的几个巨头包括日 本凸蝂印刷 TOPAN、日本大印刷,美国 Photronics日本豪雅 HOYA,日本 SK 电子等其中,Photronics、大日本印刷株式会社 DNP 和日本凸版印刷株式会社 Toppan 三家占据全球掩膜版领域 80%以仩市场份额此外,晶圆制造厂也会采取 自制方式对内提供掩膜版如英特尔、台积电、三星等都有自制掩膜版业务。

从国内来看目前國内掩膜版制造商主要有路维光电和清溢光电,中科院微电子所、 中国电子科技集团等科研院所内部也有自制掩膜版国内晶圆代工厂龙頭中芯国际 也有自制掩膜版业务。

国内光掩膜版市场规模保持稳定增长2016 年国内市场规模为 59.5 亿元,规模较上年同期增长 4.94%

国内掩膜版供需缺口逐年扩大。2011 年国内掩膜版需求 5.09 万平方米国内掩膜 版产量 0.87 万平方米,净进口量 4.22 万平方米2016 年国内掩膜版需求7.98 万 平方米,国内掩膜版产量 1.69 万平方米供需缺口达 6.29 万平方米。

目前中国大陆的平板显示行业处于快速发展期对掩膜版行业的需求持续增加。根 据 IHS 统计测算中国夶陆平板显示行业掩膜版需求量占全球比重,从 2011 年的 5%上升到 2017 年的 32%未来随着相关产业进一步向国内转移,国内平板显示 行业掩膜版的需求量将持续上升预计到 2021 年,中国大陆平板显示行业掩膜版 需求量全球占比将达到 56%

电子气体是超大规模集成电路、平面显示器件、化合物半导体器件、太阳能电池、 光纤等电子工业生产不可缺少的原材料,它们广泛应用于薄膜、刻蚀、掺杂、气相 沉积、扩散等工艺在半导體制造过程中,几乎每一步都离不开电子气体其质量 对半导体器件的性能有着重要影响。

纯度是电子气体最重要的指标气体纯度常用嘚表示方法有两种:

? 用“N”表示:如 3N,5N5.5N等,数目 N与百分数表示中的“9”的个数相 对应小数点后的数表示不足“9”的数,如 5.5N表示 99.9995%

根據气体纯度不同,气体可分为普通气体、纯气体、高纯气体及超高纯气体 4 个等 级

半导体制造领域,一个硅片需要经过外延、成膜、掺杂、蚀刻、清洗、封装等多项 工艺这个过程需要的高纯电子化学气体及电子混合气高达 30 多种以上,且每一 种气体应用在特定的工艺步骤中

2.4.2 电子气体技术壁垒

电子气体的技术壁垒极高,最核心的技术是气体提纯技术此外超高纯气体的包装 和储运也是一大难题。在半导体制慥中电子气体纯度每提升一个数量级,都会促 进器件性能的有效提升

为了得到超高纯气体,气体制造需要进行以下几个步骤:

? 气体汾离:气体的分离方法有精馏法、吸附法和膜分离法精馏法是应用最广泛 的方法,可分为连续精馏法和间歇精馏法连续精馏法操作时原料液连续地加入 精馏塔内,再沸器取出部分液体作为塔底产品;间歇精馏法原料液一次加入精馏 釜中因而间歇精馏塔只有精馏段而无提馏段。

? 气体提纯:气体制造通常是先将气体进行粗分离再通过气体提纯技术来提高其 纯度。气体提纯技术主要有化学反应法、选择吸附法、低温精馏法和薄膜扩散法 等

? 气体纯度检验:得到提纯后的气体,需对气体进行检测来验证其纯度随着电子 气体纯度越来越高,纯度检验也越来越重要气体中杂质含量检测从 10-6(ppm) 级、到 10-9(ppb)级甚至 10-12(ppt)级。

? 气体的充装与运输:超高纯气体对充装和运输都有特别的要求要求使用特殊的 储运容器、特殊的气体管道及阀门接口等,避免二次污染

在半导体行业中,电子气体作为不可或缺的原材料在各个环节中都得到广泛应用, 如电子级硅的制备、化学气相沉积成膜、晶圆刻蚀工艺等过程众多种类的气体都 起到了至关重要的莋用。

电子级硅的制备采用西门子法还原法在制备过程中用到的气体有 HCl 和 H2等, 发生的化学反应包括:

电子级硅对纯度有着极高的要求目前纯度要求在 11N9 以上。未了得到电子级纯 度硅制备过程中气体的纯度要求在 6N9 以上。目前国内 12 英寸 11N9 电子级硅 基本从日本进口

化学气相沉積(Chemical Vapor Deposition,CVD)是利用高真空下气体混合发 生相关化学反应最终形成膜。典型的 CVD 成膜有二氧化硅绝缘膜制备和氮化硅绝 缘膜制备

目前国内在建晶圆加工产线在制备半导体膜和绝缘层的过程中涉及的电子特种气 体包括 SiH4、SiCl4、SiHCl3、SiH2Cl2、AsCl3 等原料气体,以及 H2、HCl、O2、 N2O、NH3等反应气体在国内半导體发展的过程中,实现 6N9 以上纯度的反应气 体存在较大市场空间

在硅基底刻蚀中,主要选用氟基气体例如氟利昂-14(CF4),在此过程中需要刻 蚀部位的Si与CF4反应生成SiF4而除去其化学反应式为:Si+CF4+O2->SiF4+CO2。

氟利昂-116(C2F6)和氟利昂-23(CHF3)在刻蚀硅时容易产生聚合膜从而影响刻 蚀效果但是在刻蚀 SiO2嘚时候不会出现此类现象,因此可用于 SiO2的刻蚀同 时由于半导体 Si 薄膜存在各向同性的特点,刻蚀选择性差因此后续开发中引入 氯基(Cl2)囷溴基(Br2、HBr)作用,最终生成物中还包括 SiBr4和 SiCl4从而提 高选择性

目前国内在建产线汇总涉及薄膜的气体包括 CF4、C2F6、CHF3、Cl2、Br2、HBr 和 CH2F2 等,但是此类刻蚀氣体用量相对较少刻蚀过程中需与相关惰性气体 Ar、 N2等共同作用实现刻蚀程度的均匀。

2.4.4 电子气体市场情况

随着集成电路制造产业的发展铨球集成电路用电子气体的市场规模也逐渐扩大。 2018 年全球集成电路用电子气体市场规模达到 45.12 亿美元同比增长 15.93%。

电子气体纯度要求高制備难度大,目前以美国空气化工、美国普莱克斯、德国林 德集团、法国液化空气和日本大阳日酸株式会社为首的五大气体公司控制着全球 90% 鉯上的电子气体市场份额

国内情况:2018 年国内半导体用电子特气市场规模约 4.89 亿美元。经过 30 多年 的发展我国半导体用电子特气已经取得了鈈错的成绩,中船重工 718 所、绿菱电 子、广东华特等均在 12 英寸晶圆用产品上取得了突破并且实现了稳定的批量供 应。2018 年 5 月中船重工 718 所举荇二期项目开工仪式,2020 年全部达产后 将年产高纯电子气体 2 万吨,三氟化氮、六氟化钨、六氟丁二烯和三氟甲基磺酸4 个产品产能将居世界苐一

湿化学品(Wet Chemicals), 是微电子、光电子湿法工艺制程中使用的各种电子化 工材料湿化学品在半导体领域主要应用于集成电路制造过程Φ的清洗和腐蚀步骤, 其纯度和洁净度影响着集成电路的性能及可靠性

按应用领域划分,湿化学品主要应用于半导体、平板显示、太阳能以及 LED 等领 域其中,半导体制造领域对湿化学品的要求最高技术难度最大。

为了适应电子信息产业微处理工艺技术水平不断提高的趋勢并规范世界超净高纯 试剂的标准,国际半导体设备与材料组织(SEMI)将超净高纯试剂按金属杂质、 控制粒径、颗粒个数和应用范围等指標制定国际等级分类标准

2.5.2 典型湿化学品制备

使用原料槽罐车将检测合格后的硝酸原材料输入原料罐,经过连续蒸馏塔、粗过滤系统、双級过滤系统和自动灌装系统等提纯加工、高纯检测等工艺后按照产品规 格检测,合格后填充入库

将合格的氢氟酸原料通过原料储槽输叺蒸馏塔预经处理后,经过检验、过程产品检 测粗过滤、精过滤、自动灌成品检验等过程合格后由成品槽罐车运输入库

将检测合格后的氨水原材料输入粗过滤系统,将气体通过管路输送至吸收塔经过 循环吸收后输入混配罐,按照过程产品检测合格后输入粗过滤系统双级過滤后输入 精过滤系统检测合格后输入自动灌装系统灌装,按照最终产品要求检测合格后通 过水流包装线包装入库

2.5.3 湿化学品市场情况

目前全球湿化学品的市场主要分为三大块:欧美企业、日本企业、以及韩国、中国 大陆和台湾地区企业。

? 日本企业:主要企业包括关东囮学公司、三菱化学、东京应化、京都化工、日本 合成橡胶、住友化学、和光纯药工业(Wako)、 stella-chemifa 公司等日本企 业占全球 27%的市场份额。

? 韩國、中国大陆及台湾地区企业:三者占比总计 38%其中韩国、台湾企业在生 产技术上具有一定优势,在高端市场领域与欧美、日本企业相比吔有一定的竞争 力中国大陆湿电子化学品企业距世界整体水平还有一定距离,近年来包括格 林达在内的湿电子化学品企业持续技术创噺,在个别领域已接近国际领先水平

受益于半导体、平板显示以及太阳能等下游产业的快速发展,湿电子化学品近年的 发展也非常迅速2018 年,全球湿电子化学品市场规模约 52.65 亿美元应用量 方面,半导体市场应用量约 132 万吨平板显示市场应用量约 101 万吨,太阳能电 池领域应用達 74 万吨三大市场应用量共计达到 307 万吨。预计到 2020 年全 球湿电子化学品整体市场规模将达到 58.5 亿美元,在全球三大领域应用量达到 388 万吨复匼增长率约 12.42%。

2018 年国内湿电子化学品整体市场规模 79.62 亿元同比增速 4.09%,需求量约 为 90.51 万吨预计到 2020 年,国内湿电子化学品市场规模有望突破 105 亿元 需求量也将达到 147.04 万吨。

随着国内半导体行业、平板显示行业以及太阳能行业的快速发展湿电子化学品的 需求也迎来增长,促进了整个濕电子化学品行业的迅速发展2012 年国内湿电子 化学品产量 18.7 万吨,2018 年产量达到49.5 万吨年均复合增长率达 17.61%。

从下游领域需求细分情况来看2018 年半导体行业湿电子化学品需求量为 28.27 万吨,平板显示行业需求量为 34.08 万吨太阳能行业需求量为 28.16 万吨,相比 2017 年都有所增加特别是平板显示行業,需求增加明显

国内湿电子化学品由于起步较晚,技术水平与国际先进水平有一定差距但在某些 领域已经具备一定的竞争力。

? 2018 年 4 朤下旬晶瑞化学依托下属子公司年产 30 万吨的优质工业硫酸原材料 优势,并结合从日本三菱化学株式会社引进的电子级硫酸先进制造技术投资建 设年产 9 万吨/年的电子级硫酸项目。

? 2018 年第三季度湖北兴福的电子级硫酸技术攻关取得重大突破,产品品质超 越 SEMI C12 级别与国际电孓化学品最大供应商巴斯夫的产品品质处于同一级 别,并向部分国内 12 英寸晶圆厂稳定供货

? 国内湿电子化学品龙头企业江化微,年产 8 万噸的超高纯湿电子化学品生产基地 已达到国际规模水平

溅射靶材是物理气相沉积(PVD)工艺步骤中所必需的材料,是制备薄膜的关键材 料溅射工艺是利用离子源产生的离子,在真空中被加速形成告诉离子流利用高 速粒子流轰击固体表面,使得固体表面的原子脱离靶材沉積在衬底表面从而形成 薄膜。这个薄膜的形成过程称为溅射被轰击的固体被称为溅射靶材。靶材是溅射 过程的核心材料

溅射靶材种類繁多,依据不同的分类标准可以有不同的类别。溅射靶材可按形状 分类、按化学成份分类以及按应用领域分类

溅射靶材的应用领域廣泛,由于应用领域不同溅射靶材对金属材料的选择和性能 要求都有所不同。其中半导体芯片对靶材的技术要求最高,对金属的纯度、内部 微观结构等都有极高的标准

按生产工艺的不同,溅射靶材的制备可分为熔融铸造法和粉末冶金法

熔融铸造法是制备磁控溅射靶材的基本方法之一,常用的熔炼方法有真空感应熔炼、 真空电弧熔炼和真空电子轰击熔炼等高纯金属如 Al、Ti、Ni、Cu、Co、Ta、Ag、 Pt 等具有良好的塑性,直接在原有铸锭基础上进一步熔铸后进行锻造、轧制和热 处理等热机械化处理技术进行微观组织控制和坯料成型。

与粉末冶金法相仳熔融铸造法生产的靶材产品杂质含量低,致密度高但材料内 部存在一定孔隙率,需后续热加工和热处理工艺降低其孔隙率

粉末冶金法是目前溅射靶材的主要制备方法,具有容易获得均匀细晶结构、节约原 材料、生产效率高等优点通常,熔融铸造法无法实现难熔金屬溅射靶材的制备 对于熔点和密度相差较大的两种或两种以上的金属,采用普通的熔融铸造法一般 也难以获得成分均匀的合金靶材。對于无机非金属靶材、复合靶材熔融铸造法更 是无能为力,而粉末冶金法是解决制备上述靶材技术难题的最佳途径

粉末冶金法制备靶材时,其关键在于:一是选择高纯、超细粉末作为原料;二是选 择能实现快速致密化的成形烧结技术以保证靶材的低孔隙,并控制晶粒喥;三是 制备过程严格控制杂质元素的引入

2.6.3 靶材技术发展趋势

由于溅射离子不规则的作用关系,溅射靶材在溅射过程中容易产生不均匀嘚冲蚀现 象从而造成溅射靶材的利用率普遍较低。近年来通过改善溅射机台以及加强产 品研发,使得溅射靶材的利用率有所提高但仍然有很大的提升空间。如何溅射靶 材利用率是今后靶材研究的一个重要方向

精确控制溅射靶材晶粒晶向

当溅射靶材受到高速度能的离孓束流轰击时,由于溅射靶材内部空隙内存在的气体 突然释放造成大尺寸的溅射靶材微粒飞溅,这些微粒的出现会降低溅射薄膜的品 质甚至导致产品报废例如在极大规模集成电路制作工艺过程中,每 150mm 直径 硅片所能允许的微粒数必须小于 30 个怎样控制溅射靶材的晶粒,解決溅射过程 中的微粒飞溅现象成为溅射靶材的研发方向之一

在溅射过程中,溅射靶材中的原子容易沿着特定的方向溅射出来而溅射靶材的晶 向能够对溅射速率和溅射薄膜的均匀性产生影响,最终决定产品的品质因此,获 得一定晶向的靶材结构至关重要但要使溅射靶材内部获得一定晶向,存在较大的 难度需要根据溅射靶材的组织结构特点,采用不同的成型方法进行反复的塑性 变形、热处理工艺加鉯控制。

溅射靶材向大尺寸、高纯度化发展

溅射靶材的技术发展趋势与下游应用领域的技术革新息息相关随着应用市场在薄 膜产品或元件上的技术进步,溅射靶材也需要随之变化在下游应用领域中,半导 体产业对溅射靶材和溅射薄膜的品质要求最高随着更大尺寸的硅晶圆片制造出来, 相应地要求溅射靶材也朝着大尺寸方向发展同时也对溅射靶材的晶粒晶向控制提 出了更高的要求。溅射薄膜的纯度与濺射靶材的纯度密切相关为了满足半导体更 高精度、更细小微米工艺的需求,所需要的溅射靶材纯度不断攀升甚至达到 99.9999%(6N)纯度以上。

根据中国电子材料行业协会的统计 2016 年全球溅射靶材市场规模113.6 亿美元, 其中平板显示领域市场规模 38.1 亿美元占比 33.54%,半导体领域市场规模 11.9 億太阳能领域规模 23.4 亿美元。

在溅射靶材领域美国、日本企业占据全球市场主要份额。溅射靶材是典型的高技 术壁垒行业由于靶材起源发展于国外,高端产品被以美日为代表的国外企业所垄 断日矿金属、霍尼韦尔、东曹、普莱克斯、住友化学、爱发科等占据全球靶材市 场主要份额。

从国内情况来看2015 年国内高纯溅射靶材市场规模 153.5 亿元,其中平板显示 领域市场规模达69.3亿元占比45.15%。近几年随着国内半导体產业的迅速发展 国内晶圆厂迎来投建高峰,半导体材料领域市场规模将得到快速增长

国内溅射靶材行业虽然起步晚,但在国家政策和資金的支持下目前已有个别龙头 企业在某些细分领域突破国外垄断,依靠价格优势在国内靶材市场占有一定份额 国内溅射靶材企业主偠有江丰电子、阿石创、有研新材等。其中江丰电子的超高 纯金属溅射靶材产品已应用于世界著名半导体厂商的先端制造工艺,在 7 纳米技术 节点实现批量供货

化学机械抛光(CMP,Chemical Mechanical Polishing)是集成电路制造过程中实 现晶圆表面平坦化的关键工艺CMP 技术是使用效果最好,应用最广泛嘚平坦化 技术同时也是目前实现全局平坦化的唯一技术。

CMP 工艺是机械抛光和化学抛光相结合的技术单纯的机械抛光表面一致性好, 平整度高但表面容易出现损失;化学抛光速率快,表面光洁度高损失低,但表 面平整度差CMP 工艺则两种抛光的完美结合,既可获得较为唍美的表面又可 得到较高的抛光速率,得到的平整度比其他方法高两个数量级

CMP 工艺通过表面化学作用和机械研磨技术相结合实现晶圆表面的平坦化,其工 作原理是通过各类化学试剂的化学作用结合纳米磨料的机械研磨作用,在一定压 力下被抛光的晶圆对抛光垫做相对運动从而使得被抛光的晶圆表面达到高度平坦 化、低表面粗糙度和低缺陷的要求。

CMP 工艺过程用到的材料有抛光液、抛光垫、调节器等其中抛光液和抛光垫是 最核心的材料,占比分别为 49%和 33%

抛光液的主要成分包含研磨颗粒、各种添加剂和水,其中研磨颗粒主要为硅溶胶和 氣相二氧化硅抛光液原料中添加剂的种类可根据实际需求进行配比,如金属抛光 液中有金属络合剂、腐蚀抑制剂等非金属抛光液中有各种调节去除速率和选择比 的添加剂。

抛光液的核心技术是添加剂配方这直接决定了最终的抛光效果。根据抛光的对象 不同可以调整拋光液的配方,从而达到更好的抛光效果目前,抛光液的配方是 各个公司的核心技术也是抛光液的技术壁垒所在。

抛光垫粘附在转盘嘚上表面它是在 CMP 中决定抛光速率和平坦化能力的一个重 要部件。为了能控制磨料抛光垫通常用聚亚胺脂做成,因为聚亚胺脂有像海绵┅ 样的机械特性和多孔吸水特性抛光垫中的小孔能帮助传输磨料和提高抛光均匀性。

抛光垫表面会变得平坦和光滑达到一种光滑表面嘚状态,这种光滑表面的抛光垫 不能保存抛光磨料会显著降低抛光速率。因此抛光垫要求进行定期修整来降低光 滑表面的影响修整的目的是要在抛光垫的寿命期间获得一致的抛光性能。

CMP 技术中在抛光垫的寿命期间,控制抛光垫的性质以保证重复的抛光速率是 一项最大嘚挑战抛光速率是在平坦化过程中材料被去除的速度,单位通常是纳米 每分钟

抛光垫的技术壁垒主要是沟槽的设计及提高使用寿命。溝槽使得抛光过程中的碎屑 更容易流走从而得到更为平整的硅片表面。抛光垫由于是消耗品所以提高使用 寿命能降低工艺成本。

根据 Cabot Microelectronics 官网公开披露的资料2016 年、2017 年和2018 年全 球化学机械抛光液市场规模分别为 11.0 亿美元、12 亿美元和 12.7 亿美元,预计 年全球 CMP 抛光液材料市场规模年复合增长率为 6%抛光垫方面, 年全球化学机械抛光垫市场规模分别为 6.5 亿美元、7 亿美元和

35%国内方面,在高端半导体领域用抛光液领域安 集科技是龙头企业。公司已完成铜及铜阻挡层等不同系列 CMP 抛光液产品的研发 及产业化部分产品技术水平处于国际先进地位。

在抛光垫方面铨球市场几乎被美国陶氏所垄断,陶氏占据了全球抛光垫市场约 79% 的市场份额国外其他抛光垫生产商有美国的 Cabot Microelectronics、日本东丽、 台湾三方化学等。目前国内从事抛光垫材料生产研究的只有两家企业:鼎龙股份和 江丰电子鼎龙股份目前是国内抛光垫研发和生产龙头企业,8 英寸抛咣垫已经获 得国内晶圆代工厂订单12 英寸抛光垫已经获得中芯国际的认证,2019 年上半年 也获得第一张 12 英寸抛光垫订单江丰电子联合美国嘉柏微电子材料股份有限公 司,就抛光垫项目进行合作

3.1 上海新昇半导体

上海新昇半导体科技有限公司是国内大硅片龙头生产商,成立于 2014 年 6 朤坐 落于临港重装备区内,占地 150 亩新昇半导体第一期目标致力于在我国研究、开 发适用于 40-28nm 节点的 300mm 硅单晶生长、硅片加工、外延片制备、硅片分析 检测等硅片产业化成套量产工艺;建设 300 毫米半导体硅片的生产基地,实现 300 毫米半导体硅片的国产化充分满足我国极大规模集荿电路产业对硅衬底基础材料 的迫切要求。

公司 2016 年 10 月成功拉出第一根 12 英寸单晶硅锭2017 年打通 12 英寸硅片全 工艺流程,从 2017 年第二季度已经开始姠中芯国际等芯片代工企业提供 40-28nm 工艺节点 12 英寸硅片样片进行认证并有挡片、陪片、测试片等产品持续销售,正式出货并实现小批量销售

2018 年实现了 12 英寸硅片的规模化生产;一季度末,通过上海华力微电子有限公 司的认证并开始销售2018 年底,上海新昇公司大硅片已通过中芯國际认证2018 年实现收入超过 2 亿元。在第一期月产能 10 万硅片产能建设完成的同时启动第 二个月产能 10 万硅片产能的建设。

目前公司正在研发 20-14nm 笁艺节点 12 英寸硅片规划建设月产能达 5 万片 20-14nm 工艺节点 12 英寸硅片生产线。

公司预计 2019 年实现月产能 20 万片2020 年底实现月产能 30 万片,最终将形 成月產 60 万片 12 英寸硅片的产能未来甚至可能高达月产 100 万片规模。

中环股份致力于半导体节能产业和新能源产业是一家集科研、生产、经营、創投 于一体的国有控股高新技术企业,拥有独特的半导体材料-节能型半导体器件和新能 源材料-高效光伏电站双产业链公司主导产品电力電子器件用半导体区熔单晶硅 片综合实力全球第三,国外市场占有率超过 18%国内市场占有率超过 80%;光 伏单晶研发水平全球领先,先后开发叻具有自主知识产权的转换效率超过 24%的高 效 N型 DW 硅片转换效率达到 26%、“零衰减”的 CFZ-DW(直拉区熔)硅片。 单晶晶体晶片的综合实力、整体产銷规模位列全球前列高效 N型硅片市场占有率 全球第一。

总投资 30 亿美元的中环领先集成电路用大直径硅片项目该项目主要产品为 8— 12 英寸拋光硅晶片,是制造集成电路的主要原材料项目分两期实施,一期投资 15 亿美元装备投入 60 亿元,于 2017 年 12 月底开工建设三条 8 英寸生产线, 產能 75 万片/月;一条 12 英寸生产线产能15 万片/月。二期投资 15 亿美元预 计将于 2020 年开工,建设两条 12 英寸生产线产能 30 万片/月。项目全部投产后 Φ环领先将实现8 英寸大硅片进入世界前三、12 英寸大硅片进入世界前五的目标, 突破国外公司对大硅片的技术封锁和市场垄断

内蒙古中环領先半导体材料有限公司

主要生产 3/4/5/6 英寸的直拉硅单晶棒。目前内蒙领先公司生产车间主要位于内 蒙古中环产业园区的一期和三期。三期車间含 45 台单晶炉设备主要生产3/4/5/6 英寸的直拉硅单晶棒,产能约在 30 吨/月;10 台单晶炉设备主要用于生产8 英寸重 掺硅单晶棒产能约在 10 吨/月。一期车间是目前正在改造的千级区域净化,后 续主要是用于 8/12 英寸轻掺产品研发和生产该区域包括 10 台 8 英寸单晶炉设备 和 4 台 12 英寸单晶炉设备。

天津中环领先材料技术有限公司

中环领先的 8 英寸半导体区熔硅片实现量产产能已陆续释放,进一步确立了公司 在区熔抛光片市场的地位2018 年公司 8 英寸抛光片月产能已达到 30 万片,年产 量为 3.8 亿平方英寸;8 寸区熔单晶硅片主要是满足 IGBT 器件领域12 英寸抛光片试验线实现月产能 2 万爿,是中国大陆第一家、全球第三家做 12 英寸功率硅片 的工厂目前有约 10

公司 2019 年上半年实现营业收入 79.4 亿元,较上年同期增长 22.91%;归母净利 润 4.52 亿え较上年同期增长 50.69%。在半导体产业领域2019 年上半年公司 产品在国际一流客户销售占比同比提升 2 倍以上,为后续公司业务的持续增长打下 良好基础

我们预计公司 年的营业收入分别为 180.8 亿元、244.5 亿元和 326.0 亿元,归属于上市公司股东净利润分别为 10.45 亿元、17.04 亿元和 24.74 亿元 每股收益分别为 0.38 え、0.62 元和 0.90 元,对应 PE 分别为 32X、19X、13X 给予“买入”评级。

公司是一家以应用研究为导向立足于产品自主研发创新的高新技术企业,专业从 事電子材料领域各类光刻胶专用电子化学品的研发、生产和销售及相关贸易业务 公司主要产品为光刻胶专用化学品,分为光刻胶用光引发劑(包括光增感剂、光致 产酸剂等)和光刻胶树脂两大系列

公司的产品按照应用领域分类,主要有印制电路板(PCB)光刻胶专用化学品(咣 引发剂和树脂)、液晶显示器(LCD)光刻胶光引发剂、半导体光刻胶光引发剂及 其他用途光引发剂四大类

光固化材料、光刻胶虽然都是甴光引发剂(或光敏剂)、树脂、单体(或活性稀释 剂)三种主要化学品原料和其他助剂组成的,但光刻胶需要使用专用的化学品原料 咣刻胶是成像材料,和光固化材料相比用途不同,使用的曝光光源和光能不同 反应机理不完全相同,对于材料的溶解性、耐蚀刻性、感光性能、耐热性等要求不 同各类光刻胶使用的光引发剂、树脂、单体等原料需要化学结构不同、性能各异 的专用化学品。而且光刻胶鼡于加工制作非常精细的图形线路对原材料的纯度、 杂质、金属离子含量等有非常高的要求。

我们预计公司 年的营业收入分别为 8.7 亿元、11.2 億元和 14.2 亿元 归属于上市公司股东净利润分别为 1.66 亿元、1.95 亿元和 2.23 亿元,每股收益分 别为 0.61 元、0.72 元和 0.82 元对应 PE 分别为 22X、18X、16X。给予“买入” 评级

經过多年的发展,公司已逐步形成了 PCB 感光油墨、光刻胶及配套化学品、特种 油墨三大系列多种规格的电子化学产品

公司 PCB 油墨产品以感光油墨为主,主要应用于 PCB 领域按用途不同又可分为 PCB 感光线路油墨、PCB 感光阻焊油墨和其他油墨等。公司的 PCB 感光线路油墨 具备以下特点:感光速度快、解像度高、附着力好、抗电镀、抗蚀刻性好、容易褪 膜等特点;公司的 PCB 感光阻焊油墨除具备常规性能外还有工艺使用宽容度大、 耐热冲击性好、批次稳定性高等特点。

公司的光刻胶产品主要包括紫外线正胶、紫外线负胶两大类产品以及稀释剂、显影 液、剥离液等配套化学品主要应用于平板显示、发光二极管及集成电路等领域。

公司的特种油墨产品主要用于触摸屏、视窗玻璃、智能手机等产品的精密加工领域

公司经过多年的自主研发和实践积累,掌握了树脂合成、光敏剂合成、配方设计及 制造工艺控制等电子感光化学品核心技術

2019 上半年度公司实现营业收入为 20,860.50 万元,比去年同期增长 6.09%;归 属于上市公司股东的净利润 1,846.02 万元比去年同期减少 4.12%;基本每股收 益为 0.15 元,与詓年同期持平

我们预计公司 年的营业收入分别为 4.8 亿元、6.0 亿元和 7.7 亿元,归 属于上市公司股东净利润分别为 0.47 元、0.59 亿元和 0.75 亿元每股收益分别為 0.39 元、0.49 元和 0.62 元,对应 PE 分别为 64X、51X、40X给予“买入”评级。

苏州晶瑞化学股份有限公司 2001 年 11 月注册成立位于苏州市吴中经济开发区澄 湖东路,昰一家生产销售微电子业用超纯化学材料和其他精细化工产品的上市企业 2019 年 7 月 31 日,晶瑞股份发布公告称公司已与安徽省精细化工产业囿机合成 基地管理委员会(以下简称“安徽精细化工管理委员会”)签署了项目投资协议书, 拟在安徽省精细化工产业基地投资建设年产5.4 萬吨微电子材料及循环再利用项目 项目计划总投资额约 2 亿元。其中一期投资额为 1 亿元项目用地面积约为 58 亩。

公司注重技术的积累和创噺开发了一批技术领先、具有全球竞争力的主导产品。 其中双氧水、氨水量产达到 G5 等级这两个产品将与引进日本技术的超纯硫酸(G5 等級)构成超纯产品组合,有望整体解决我国半导体用量最大的超纯试剂国产化问 题以上三种超纯试剂产品约占半导体全部超纯试剂用量嘚七成。氟化铵、硝酸、 盐酸、氢氟酸达到 G3、G4 等级这些超高纯度产品为半导体材料逐步实现进口替 代提供了有力的保证。

公司光刻胶产品达到国际中高级水准i 线光刻胶已向中芯国际、扬杰科技、福顺 微电子等客户供货,KrF(248nm 深紫外)光刻胶完成中试产品分辨率达到了 0.25~0.13μm 嘚技术要求,建成了中试示范线

公司 2019 年上半年实现营业总收入 3.75 亿元较上年同期增长 2.24%,实现归属于 上市公司股东的净利润为 1443.87 万元较上年哃期下跌 39.62%。

? 业务经营方面:2019 年上半年度公司营业收入与上年相比略有增长。分产品 来看超净高纯试剂的营业收入比上年同期有所下降,原因为:公司对光伏行业 的超净高纯试剂营业收入较上年同期有所减少;功能性材料、锂电池材料、基础 化工材料、蒸汽的营业收入仳上年同期有所增长

? 技术研发和客户开拓方面:2019 年半年度,公司持续投入研发资源,研发能力取得 长足进步。

我们预计公司 年的营业收入汾别为10.0 亿元、12.9 亿元和 16.8 亿元 归属于上市公司股东净利润分别为 0.58 亿元、0.78 亿元和 0.96 亿元,每股收益分 别为 0.38 元、0.51 元和 0.63 元对应 PE 分别为 53X、39X、31X。给予“買入” 评级

北京科华微电子材料有限公司是一家中美合资企业,成立于 2004 年是一家产品 覆盖 KrF(248nm)、I-line、G-line、紫外宽谱的光刻胶及配套试剂供應商与服务商, 也是集先进光刻胶产品研、产、销为一体的拥有自主知识产权的高新技术企业

科华微电子拥有中高档光刻胶生产基地:2005 姩,建成百吨级环化橡胶系紫外负 性光刻胶和千吨级负性光刻胶配套试剂生产线;2009 年 5 月建成高档 G/I 线正胶 生产线(500 吨/年)和正胶配套试剂苼产线(1000 吨/年);2012 年 12 月,科华 微电子建成 248nm 光刻胶生产线

2019 年 5 月 24 日国家科技重大专项(02 专项)极紫外光刻胶项目顺利通过国家 验收。“极大規模集成电路制造装备与成套工艺”专项(02 专项)项目“极紫外光 刻胶材料与实验室检测技术研究”由中国科学院化学研究所、中国科学院理化技术 研究所、北京科华微电子材料有限公司联合承担经过项目组全体成员的努力攻关, 完成了 EUV 光刻胶关键材料的设计、制备和合荿工艺研究、配方组成和光刻胶制 备、实验室光刻胶性能的初步评价装备的研发达到了任务书中规定的材料和装备 的考核指标。

目前公司的 KrF(248nm)光刻胶目前已经通过中芯国际认证ArF(193nm)光 刻胶正在积极研发中。公司成立了“宁波南大光电材料有限公司”全力推进“ArF 光刻膠开发和产业化项目”的落地实施。

近期沃衍资本携手江苏盛世投资、紫荆资本、深圳市投控通产新材料创业投资企业、 四川润资、北京高盟新材料等投资机构完成了对国内光刻胶领头企业—北京科华微 电子材料有限公司 1.7 亿元的投资

深圳清溢光电股份有限公司创立于 1997 年 8 月,位于有“南中国的硅谷”之称的 深圳市高新技术产业园区由清溢精密光电(深圳)有限公司整体改制而来,注册 资本为 2 亿元人民币主要从事掩膜版的研发、设计、生产和销售业务,是国内成 立最早、规模最大的掩膜版生产企业之一

公司主要从事掩膜版的研发、设计、生产和销售业务,是国内成立最早、规模最大的掩膜版生产企业之一公司产品主要应用于平板显示、半导体芯片、触控、电路 板等行業,是下游行业产品制程中的关键工具

凭借优质的产品及服务,公司与下游众多知名企业建立了良好的合作关系在平板 显示领域,公司拥有京东方、天马、华星光电、群创光电、瀚宇彩晶、龙腾光电、 信利、中电熊猫、维信诺等客户;在半导体芯片领域公司已开发中芯国际、英特 尔、艾克尔、颀邦科技、长电科技、士兰微等客户。

2008年公司投产国内第一张5代TFT-LCD用掩膜版,配套我国下游5代TFT-LCD 产业的掩膜版国產化;2014 年至今公司先后研发投产国内第一张8.5 代 TFT-LCD 掩膜版、5.5 代 LTPS 用掩膜版,配套下游大尺寸高精度的掩膜版国产化

2017 年 6 月,公司成功投产高精喥大尺寸平板显示掩膜版产线开始具备生产高 精度大尺寸掩膜版产品的能力,并于 2018 年实现量产2018 年下半年,公司开始 进行 5 代多栅产品技術的研发并计划针对 HTM 掩膜版产品进行产业化开发,针 对 PSM 掩膜版产品进行技术开发

2019 年 1 月,清溢合肥项目的开工建设标志着我区在持续發展显示产业的道路 上又迈出了关键一步。该项目总投资 10 亿元占地面积 50 亩,产品定位在高端 AMOLED 及 LTPS 用掩膜版满产后将年产高精度掩膜版 2000 张。

公司 年实现营业收入分别为3.15 亿、3.19 亿和 4.07 亿元2018 年增 速达 27.6%,营收增速明显提升净利润方面,2018 年实现净利润 0.63 亿元净 利润增速高达 61.5%。营业收叺和净利润的增长速度较快主要原因系:

? 随着新增生产设备开始释放产能,以及公司制程能力、工艺水平的提升公司在 中高端掩膜蝂的产能瓶颈得到有效缓解,而下游平板显示产业、芯片半导体产品 的掩膜版需求持续旺盛公司营业收入受石英掩膜版产销量水平的拉動获得 27.55%的增长;

? 随着公司整体产销规模的提升,以及掩膜版产品结构向更高精度的方向升级使 得规模效应日益显著,单位制造费用和期间费用率水平均有所下降净利润增长 速度高于营业收入增速。

路维光电股份有限公司是高科技、高附加值、高技术密集型企业总部位于深圳市南山区科技园。公司自 1997 年成立至今一直致力于各类掩膜产品的专业生产在 中国掩膜版行业拥有 20 年显著的技术及行业优势,集研发、生产、销售于一身 是国内首家上市光刻掩膜版国家级高新技术企业。

2019 年 6 月 27 日 11 时路维光电产业园开园仪式隆重举行,路维光电股東方、 园区规划建设方代表以及成都路维全体员工到场共同见证路维光电产业园开园。

路维光电产业园占地面积 36000 多平方米计划分两期建设6 条高世代掩膜版生产 线,打造国内规模最大的光掩膜生产基地产业园专注研发生产高世代、高精度 TFT-LCD 掩膜产品以及新型掩膜技术的研發,项目建成后将成为我国最大的掩膜 版制造基地项目计划建设六条高世代掩膜版生产线,分两期建设项目一期建设 1 条 11 代和 1 条 8.5 代光掩膜版生产线。

(报告来源:申港证券)

获取报告请登陆未来智库

}

我要回帖

更多关于 膜揭纳米防护 的文章

更多推荐

版权声明:文章内容来源于网络,版权归原作者所有,如有侵权请点击这里与我们联系,我们将及时删除。

点击添加站长微信